Index of /Mirrors/alpine/edge/testing/x86

[ICO]NameLast modifiedSizeDescription

[PARENTDIR]Parent Directory  -  
[   ]zydis-doc-4.1.0-r0.apk2024-10-25 22:13 1.6M 
[   ]zydis-dev-4.1.0-r0.apk2024-10-25 22:13 61K 
[   ]zydis-4.1.0-r0.apk2024-10-25 22:13 205K 
[   ]zycore-doc-1.5.0-r0.apk2024-10-25 22:13 394K 
[   ]zycore-dev-1.5.0-r0.apk2024-10-25 22:13 38K 
[   ]zycore-1.5.0-r0.apk2024-10-25 22:13 23K 
[   ]zsh-manydots-magic-0_git20230607-r1.apk2024-10-25 22:13 2.9K 
[   ]zsh-histdb-skim-0.8.6-r0.apk2024-10-25 22:13 746K 
[   ]zsh-fzf-tab-0_git20220331-r1.apk2024-10-25 22:13 16K 
[   ]zrepl-zsh-completion-0.6.1-r6.apk2024-10-25 22:13 1.9K 
[   ]zrepl-openrc-0.6.1-r6.apk2024-10-25 22:13 1.7K 
[   ]zrepl-bash-completion-0.6.1-r6.apk2024-10-25 22:13 4.5K 
[   ]zrepl-0.6.1-r6.apk2024-10-25 22:13 6.5M 
[   ]znc-push-0_git20220823-r8.apk2024-10-25 22:13 97K 
[   ]znc-playback-0_git20210503-r8.apk2024-10-25 22:13 21K 
[   ]znc-clientbuffer-1.0.48-r8.apk2024-10-25 22:13 19K 
[   ]znc-backlog-0_git20210503-r8.apk2024-10-25 22:13 23K 
[   ]zita-resampler-doc-1.10.1-r0.apk2024-10-25 22:13 4.1K 
[   ]zita-resampler-dev-1.10.1-r0.apk2024-10-25 22:13 3.4K 
[   ]zita-resampler-1.10.1-r0.apk2024-10-25 22:13 19K 
[   ]zita-njbridge-doc-0.4.8-r1.apk2024-10-25 22:13 5.2K 
[   ]zita-njbridge-0.4.8-r1.apk2024-10-25 22:13 32K 
[   ]zile-doc-2.6.2-r1.apk2024-10-25 22:13 16K 
[   ]zile-2.6.2-r1.apk2024-10-25 22:13 120K 
[   ]zfsbootmenu-doc-2.3.0-r1.apk2024-10-25 22:13 16K 
[   ]zfsbootmenu-2.3.0-r1.apk2024-10-25 22:13 128K 
[   ]zfs-src-2.2.4-r0.apk2024-10-25 22:13 33M 
[   ]zarchive-libs-0.1.2-r2.apk2024-10-25 22:13 26K 
[   ]zarchive-dev-0.1.2-r2.apk2024-10-25 22:13 6.8K 
[   ]zarchive-0.1.2-r2.apk2024-10-25 22:13 17K 
[   ]zapret-openrc-0.0.0_git20220125-r1.apk2024-10-25 22:13 2.1K 
[   ]zapret-doc-0.0.0_git20220125-r1.apk2024-10-25 22:13 98K 
[   ]zapret-0.0.0_git20220125-r1.apk2024-10-25 22:13 90K 
[   ]zafiro-icon-theme-1.3-r0.apk2024-10-25 22:13 19M 
[   ]z-doc-1.12-r0.apk2024-10-25 22:13 3.9K 
[   ]z-1.12-r0.apk2024-10-25 22:13 4.6K 
[   ]yubikey-agent-0.1.6-r7.apk2024-10-25 22:13 1.7M 
[   ]ytmdl-zsh-completion-2024.08.15.1-r0.apk2024-10-25 22:13 2.1K 
[   ]ytmdl-pyc-2024.08.15.1-r0.apk2024-10-25 22:13 78K 
[   ]ytmdl-bash-completion-2024.08.15.1-r0.apk2024-10-25 22:13 2.2K 
[   ]ytmdl-2024.08.15.1-r0.apk2024-10-25 22:13 50K 
[   ]youtube-viewer-gtk-3.11.1-r0.apk2024-10-25 22:13 171K 
[   ]youtube-viewer-doc-3.11.1-r0.apk2024-10-25 22:13 41K 
[   ]youtube-viewer-3.11.1-r0.apk2024-10-25 22:13 83K 
[   ]youtube-tui-0.8.0-r0.apk2024-10-25 22:13 1.3M 
[   ]youki-dbg-0.4.1-r0.apk2024-10-25 22:13 4.6K 
[   ]youki-0.4.1-r0.apk2024-10-25 22:13 1.5M 
[   ]yosys-dev-0.42-r0.apk2024-10-25 22:13 119K 
[   ]yosys-0.42-r0.apk2024-10-25 22:13 19M 
[   ]yoshimi-doc-2.3.2-r0.apk2024-10-25 22:13 4.5M 
[   ]yoshimi-2.3.2-r0.apk2024-10-25 22:13 6.1M 
[   ]yodl-doc-4.02.00-r1.apk2024-10-25 22:13 59K 
[   ]yodl-4.02.00-r1.apk2024-10-25 22:13 152K 
[   ]yices2-libs-2.6.4-r0.apk2024-10-25 22:13 833K 
[   ]yices2-dev-2.6.4-r0.apk2024-10-25 22:13 41K 
[   ]yices2-2.6.4-r0.apk2024-10-25 22:13 2.2M 
[   ]yeti-1.1-r0.apk2024-10-25 22:13 816K 
[   ]ydcv-zsh-completion-0.7-r8.apk2024-10-25 22:13 2.2K 
[   ]ydcv-pyc-0.7-r8.apk2024-10-25 22:13 11K 
[   ]ydcv-0.7-r8.apk2024-10-25 22:13 20K 
[   ]yazi-zsh-completion-0.3.3-r0.apk2024-10-25 22:13 2.1K 
[   ]yazi-fish-completion-0.3.3-r0.apk2024-10-25 22:13 1.8K 
[   ]yazi-doc-0.3.3-r0.apk2024-10-25 22:13 2.3K 
[   ]yazi-cli-0.3.3-r0.apk2024-10-25 22:13 944K 
[   ]yazi-bash-completion-0.3.3-r0.apk2024-10-25 22:13 2.1K 
[   ]yazi-0.3.3-r0.apk2024-10-25 22:13 4.3M 
[   ]yaru-theme-viridian-23.10.0-r0.apk2024-10-25 22:13 770K 
[   ]yaru-theme-sage-23.10.0-r0.apk2024-10-25 22:13 773K 
[   ]yaru-theme-red-23.10.0-r0.apk2024-10-25 22:13 770K 
[   ]yaru-theme-purple-23.10.0-r0.apk2024-10-25 22:13 767K 
[   ]yaru-theme-prussiangreen-23.10.0-r0.apk2024-10-25 22:13 769K 
[   ]yaru-theme-olive-23.10.0-r0.apk2024-10-25 22:13 769K 
[   ]yaru-theme-mate-23.10.0-r0.apk2024-10-25 22:13 736K 
[   ]yaru-theme-magenta-23.10.0-r0.apk2024-10-25 22:13 772K 
[   ]yaru-theme-hdpi-23.10.0-r0.apk2024-10-25 22:13 78K 
[   ]yaru-theme-blue-23.10.0-r0.apk2024-10-25 22:13 776K 
[   ]yaru-theme-bark-23.10.0-r0.apk2024-10-25 22:13 773K 
[   ]yaru-theme-23.10.0-r0.apk2024-10-25 22:13 856K 
[   ]yaru-sounds-23.10.0-r0.apk2024-10-25 22:13 676K 
[   ]yaru-shell-23.10.0-r0.apk2024-10-25 22:13 746K 
[   ]yaru-schemas-23.10.0-r0.apk2024-10-25 22:13 1.8K 
[   ]yaru-icon-theme-viridian-23.10.0-r0.apk2024-10-25 22:13 1.1M 
[   ]yaru-icon-theme-sage-23.10.0-r0.apk2024-10-25 22:13 1.1M 
[   ]yaru-icon-theme-red-23.10.0-r0.apk2024-10-25 22:13 1.1M 
[   ]yaru-icon-theme-purple-23.10.0-r0.apk2024-10-25 22:13 1.1M 
[   ]yaru-icon-theme-prussiangreen-23.10.0-r0.apk2024-10-25 22:13 1.1M 
[   ]yaru-icon-theme-olive-23.10.0-r0.apk2024-10-25 22:13 1.1M 
[   ]yaru-icon-theme-mate-23.10.0-r0.apk2024-10-25 22:13 1.2M 
[   ]yaru-icon-theme-magenta-23.10.0-r0.apk2024-10-25 22:13 1.1M 
[   ]yaru-icon-theme-blue-23.10.0-r0.apk2024-10-25 22:13 1.1M 
[   ]yaru-icon-theme-bark-23.10.0-r0.apk2024-10-25 22:13 1.0M 
[   ]yaru-icon-theme-23.10.0-r0.apk2024-10-25 22:13 35M 
[   ]yaru-common-23.10.0-r0.apk2024-10-25 22:13 4.6K 
[   ]yarn-berry-4.5.1-r0.apk2024-10-28 11:28 970K 
[   ]yamlfmt-doc-0.12.1-r1.apk2024-10-25 22:13 5.5K 
[   ]yamlfmt-0.12.1-r1.apk2024-10-25 22:13 1.5M 
[   ]yamkix-pyc-0.10.0-r1.apk2024-10-25 22:13 12K 
[   ]yamkix-0.10.0-r1.apk2024-10-25 22:13 14K 
[   ]xwaylandvideobridge-lang-0.4.0-r1.apk2024-10-25 22:13 11K 
[   ]xwaylandvideobridge-0.4.0-r1.apk2024-10-25 22:13 50K 
[   ]xvkbd-doc-4.1-r2.apk2024-10-25 22:13 11K 
[   ]xvkbd-4.1-r2.apk2024-10-25 22:13 293K 
[   ]xvidtune-doc-1.0.4-r0.apk2024-10-25 22:13 4.2K 
[   ]xvidtune-1.0.4-r0.apk2024-10-25 22:13 17K 
[   ]xva-img-1.5-r0.apk2024-10-25 22:13 18K 
[   ]xtl-0.7.7-r0.apk2024-10-25 22:13 111K 
[   ]xtensor-0.24.7-r0.apk2024-10-25 22:13 270K 
[   ]xsoldier-doc-1.8-r2.apk2024-10-25 22:13 2.6K 
[   ]xsoldier-1.8-r2.apk2024-10-25 22:13 67K 
[   ]xsecurelock-doc-1.9.0-r1.apk2024-10-25 22:13 18K 
[   ]xsecurelock-1.9.0-r1.apk2024-10-25 22:13 65K 
[   ]xsane-lang-0.999-r2.apk2024-10-25 22:13 440K 
[   ]xsane-doc-0.999-r2.apk2024-10-25 22:13 4.3K 
[   ]xsane-0.999-r2.apk2024-10-25 22:13 1.5M 
[   ]xosview-doc-1.24-r0.apk2024-10-25 22:13 13K 
[   ]xosview-1.24-r0.apk2024-10-25 22:13 144K 
[   ]xonsh-pyc-0.18.2-r0.apk2024-10-25 22:13 1.0M 
[   ]xonsh-0.18.2-r0.apk2024-10-25 22:13 588K 
[   ]xone-src-0.3_git20230517-r0.apk2024-10-25 22:13 43K 
[   ]xmppipe-0.16.0-r1.apk2024-10-25 22:13 16K 
[   ]xmpp-dns-0.2.4-r19.apk2024-10-25 22:13 1.7M 
[   ]xmp-doc-4.2.0-r0.apk2024-10-25 22:13 5.3K 
[   ]xmp-4.2.0-r0.apk2024-10-25 22:13 22K 
[   ]xml2rfc-pyc-3.24.0-r0.apk2024-11-09 23:26 404K 
[   ]xml2rfc-3.24.0-r0.apk2024-11-09 23:26 350K 
[   ]xmag-doc-1.0.8-r0.apk2024-10-25 22:13 4.7K 
[   ]xmag-1.0.8-r0.apk2024-10-25 22:13 17K 
[   ]xload-doc-1.1.4-r0.apk2024-10-25 22:13 3.2K 
[   ]xload-1.1.4-r0.apk2024-10-25 22:13 6.8K 
[   ]xlhtml-doc-0.5.1-r0.apk2024-10-25 22:13 2.5K 
[   ]xlhtml-0.5.1-r0.apk2024-10-25 22:13 11K 
[   ]xkb-switch-doc-1.8.5-r0.apk2024-10-25 22:13 1.9K 
[   ]xkb-switch-1.8.5-r0.apk2024-10-25 22:13 17K 
[   ]xisxwayland-doc-2-r1.apk2024-10-25 22:13 2.0K 
[   ]xisxwayland-2-r1.apk2024-10-25 22:13 4.2K 
[   ]xiccd-doc-0.3.0_git20211219-r1.apk2024-10-25 22:13 3.3K 
[   ]xiccd-0.3.0_git20211219-r1.apk2024-10-25 22:13 18K 
[   ]xgalaga-doc-2.1.1.0-r1.apk2024-10-25 22:13 2.5K 
[   ]xgalaga-2.1.1.0-r1.apk2024-10-25 22:13 276K 
[   ]xfe-xfw-1.46.2-r0.apk2024-10-25 22:13 298K 
[   ]xfe-xfp-1.46.2-r0.apk2024-10-25 22:13 255K 
[   ]xfe-xfi-1.46.2-r0.apk2024-10-25 22:13 278K 
[   ]xfe-lang-1.46.2-r0.apk2024-10-25 22:13 534K 
[   ]xfe-doc-1.46.2-r0.apk2024-10-25 22:13 4.0K 
[   ]xfe-1.46.2-r0.apk2024-10-25 22:13 1.4M 
[   ]xfd-doc-1.1.4-r0.apk2024-10-25 22:13 4.9K 
[   ]xfd-1.1.4-r0.apk2024-10-25 22:13 14K 
[   ]xfce4-verve-plugin-lang-2.0.3-r0.apk2024-10-25 22:13 40K 
[   ]xfce4-verve-plugin-2.0.3-r0.apk2024-10-25 22:13 19K 
[   ]xfce4-timer-plugin-lang-1.7.2-r0.apk2024-10-25 22:13 49K 
[   ]xfce4-timer-plugin-1.7.2-r0.apk2024-10-25 22:13 26K 
[   ]xfce4-systemload-plugin-lang-1.3.2-r0.apk2024-10-25 22:13 39K 
[   ]xfce4-systemload-plugin-1.3.2-r0.apk2024-10-25 22:13 31K 
[   ]xfce4-smartbookmark-plugin-lang-0.5.2-r0.apk2024-10-25 22:13 21K 
[   ]xfce4-smartbookmark-plugin-0.5.2-r0.apk2024-10-25 22:13 10K 
[   ]xfce4-places-plugin-lang-1.8.3-r0.apk2024-10-25 22:13 54K 
[   ]xfce4-places-plugin-1.8.3-r0.apk2024-10-25 22:13 33K 
[   ]xfce4-panel-profiles-lang-1.0.14-r1.apk2024-10-25 22:13 44K 
[   ]xfce4-panel-profiles-doc-1.0.14-r1.apk2024-10-25 22:13 19K 
[   ]xfce4-panel-profiles-1.0.14-r1.apk2024-10-25 22:13 57K 
[   ]xfce4-netload-plugin-lang-1.4.1-r0.apk2024-10-25 22:13 44K 
[   ]xfce4-netload-plugin-1.4.1-r0.apk2024-10-25 22:13 26K 
[   ]xfce4-mpc-plugin-lang-0.5.3-r0.apk2024-10-25 22:13 38K 
[   ]xfce4-mpc-plugin-0.5.3-r0.apk2024-10-25 22:13 20K 
[   ]xfce4-mixer-lang-4.18.1-r2.apk2024-10-25 22:13 59K 
[   ]xfce4-mixer-doc-4.18.1-r2.apk2024-10-25 22:13 2.5K 
[   ]xfce4-mixer-4.18.1-r2.apk2024-10-25 22:13 90K 
[   ]xfce4-mailwatch-plugin-lang-1.3.1-r1.apk2024-10-25 22:13 149K 
[   ]xfce4-mailwatch-plugin-1.3.1-r1.apk2024-10-25 22:13 57K 
[   ]xfce4-hamster-plugin-lang-1.17-r0.apk2024-10-25 22:13 5.1K 
[   ]xfce4-hamster-plugin-1.17-r0.apk2024-10-25 22:13 39K 
[   ]xfce4-fsguard-plugin-lang-1.1.3-r0.apk2024-10-25 22:13 31K 
[   ]xfce4-fsguard-plugin-1.1.3-r0.apk2024-10-25 22:13 53K 
[   ]xfce4-docklike-plugin-lang-0.4.2-r0.apk2024-10-25 22:13 36K 
[   ]xfce4-docklike-plugin-0.4.2-r0.apk2024-10-25 22:13 78K 
[   ]xfce4-diskperf-plugin-lang-2.7.0-r0.apk2024-10-25 22:13 50K 
[   ]xfce4-diskperf-plugin-2.7.0-r0.apk2024-10-25 22:13 17K 
[   ]xfce4-calculator-plugin-lang-0.7.2-r0.apk2024-10-25 22:13 22K 
[   ]xfce4-calculator-plugin-0.7.2-r0.apk2024-10-25 22:13 64K 
[   ]xf86-video-vboxvideo-doc-1.0.0-r1.apk2024-10-25 22:13 3.2K 
[   ]xf86-video-vboxvideo-1.0.0-r1.apk2024-10-25 22:13 17K 
[   ]xendmail-doc-0.4.3-r0.apk2024-10-25 22:13 2.5K 
[   ]xendmail-0.4.3-r0.apk2024-10-25 22:13 1.0M 
[   ]xed-python-3.6.6-r0.apk2024-11-12 11:57 24K 
[   ]xed-lang-3.6.6-r0.apk2024-11-12 11:57 2.1M 
[   ]xed-doc-3.6.6-r0.apk2024-11-12 11:57 971K 
[   ]xed-dev-3.6.6-r0.apk2024-11-12 11:57 14K 
[   ]xed-3.6.6-r0.apk2024-11-12 11:57 1.1M 
[   ]xdg-ninja-0.2.0.2-r0.apk2024-10-25 22:13 70K 
[   ]xcompmgr-doc-1.1.9-r0.apk2024-10-25 22:13 2.6K 
[   ]xcompmgr-1.1.9-r0.apk2024-10-25 22:13 16K 
[   ]xcape-doc-1.2-r0.apk2024-10-25 22:13 2.8K 
[   ]xcape-1.2-r0.apk2024-10-25 22:13 7.3K 
[   ]xandikos-pyc-0.2.12-r0.apk2024-10-25 22:13 189K 
[   ]xandikos-openrc-0.2.12-r0.apk2024-10-25 22:13 2.1K 
[   ]xandikos-doc-0.2.12-r0.apk2024-10-25 22:13 2.3K 
[   ]xandikos-0.2.12-r0.apk2024-10-25 22:13 91K 
[   ]xa-doc-2.3.14-r0.apk2024-10-25 22:13 15K 
[   ]xa-2.3.14-r0.apk2024-10-25 22:13 52K 
[   ]x11docker-doc-7.6.0-r1.apk2024-10-25 22:13 9.4K 
[   ]x11docker-7.6.0-r1.apk2024-10-25 22:13 113K 
[   ]wtfutil-0.43.0-r8.apk2024-10-25 22:13 20M 
[   ]wsmancli-doc-2.6.2-r0.apk2024-10-25 22:13 3.7K 
[   ]wsmancli-2.6.2-r0.apk2024-10-25 22:13 18K 
[   ]wshowkeys-1.0-r0.apk2024-10-25 22:13 14K 
[   ]wroomd-openrc-0.1.0-r0.apk2024-10-25 22:13 1.7K 
[   ]wroomd-0.1.0-r0.apk2024-10-25 22:13 1.0M 
[   ]wput-doc-0.6.2-r4.apk2024-10-25 22:13 8.2K 
[   ]wput-0.6.2-r4.apk2024-10-25 22:13 45K 
[   ]wpa_actiond-openrc-1.4-r7.apk2024-10-25 22:13 2.2K 
[   ]wpa_actiond-1.4-r7.apk2024-10-25 22:13 11K 
[   ]wordgrinder-doc-0.8-r2.apk2024-10-25 22:13 18K 
[   ]wordgrinder-0.8-r2.apk2024-10-25 22:13 466K 
[   ]wolfssh-dev-1.4.17-r0.apk2024-10-25 22:13 173K 
[   ]wolfssh-1.4.17-r0.apk2024-10-25 22:13 139K 
[   ]wol-lang-0.7.1-r3.apk2024-10-25 22:13 8.2K 
[   ]wol-doc-0.7.1-r3.apk2024-10-25 22:13 5.5K 
[   ]wol-0.7.1-r3.apk2024-10-25 22:13 25K 
[   ]wok-pyc-3.0.0-r6.apk2024-10-25 22:13 119K 
[   ]wok-lang-3.0.0-r6.apk2024-10-25 22:13 16K 
[   ]wok-doc-3.0.0-r6.apk2024-10-25 22:13 3.7K 
[   ]wok-3.0.0-r6.apk2024-10-25 22:13 157K 
[   ]wmi-client-1.3.16-r5.apk2024-10-25 22:13 2.5M 
[   ]wmctrl-doc-1.07-r1.apk2024-10-25 22:13 5.1K 
[   ]wmctrl-1.07-r1.apk2024-10-25 22:13 14K 
[   ]wlvncc-0.0.0_git20230105-r1.apk2024-10-25 22:13 67K 
[   ]wlroots0.17-dev-0.17.4-r0.apk2024-10-28 11:35 77K 
[   ]wlroots0.17-dbg-0.17.4-r0.apk2024-10-28 11:35 1.3M 
[   ]wlroots0.17-0.17.4-r0.apk2024-10-28 11:35 390K 
[   ]wlroots0.16-dev-0.16.2-r0.apk2024-10-28 14:47 71K 
[   ]wlroots0.16-dbg-0.16.2-r0.apk2024-10-28 14:47 1.2M 
[   ]wlroots0.16-0.16.2-r0.apk2024-10-28 14:47 348K 
[   ]wlroots0.15-dev-0.15.1-r6.apk2024-10-25 22:13 70K 
[   ]wlroots0.15-dbg-0.15.1-r6.apk2024-10-25 22:13 1.1M 
[   ]wlroots0.15-0.15.1-r6.apk2024-10-25 22:13 296K 
[   ]wlroots0.12-dev-0.12.0-r1.apk2024-10-25 22:13 61K 
[   ]wlroots0.12-dbg-0.12.0-r1.apk2024-10-25 22:13 862K 
[   ]wlroots0.12-0.12.0-r1.apk2024-10-25 22:13 275K 
[   ]wlrobs-1.0-r4.apk2024-10-25 22:13 19K 
[   ]wlr-protocols-0_git20240126-r0.apk2024-10-25 22:13 25K 
[   ]wlopm-doc-0.1.0-r0.apk2024-10-25 22:13 2.0K 
[   ]wlopm-0.1.0-r0.apk2024-10-25 22:13 6.7K 
[   ]wlclock-doc-1.0.1-r0.apk2024-10-25 22:13 3.3K 
[   ]wlclock-1.0.1-r0.apk2024-10-25 22:13 17K 
[   ]wlavu-0_git20201101-r1.apk2024-10-25 22:13 12K 
[   ]wl-gammarelay-0.1.1-r9.apk2024-10-25 22:13 1.5M 
[   ]wl-clipboard-x11-doc-5-r3.apk2024-10-25 22:13 2.9K 
[   ]wl-clipboard-x11-5-r3.apk2024-10-25 22:13 3.4K 
[   ]wk-adblock-doc-0.0.4-r5.apk2024-10-25 22:13 2.1K 
[   ]wk-adblock-0.0.4-r5.apk2024-10-25 22:13 172K 
[   ]witchery-0.0.3-r2.apk2024-10-25 22:13 3.2K 
[   ]wiremapper-0.10.0-r0.apk2024-10-25 22:13 22K 
[   ]wireguard-go-doc-0.0.20230223-r7.apk2024-10-25 22:13 3.6K 
[   ]wireguard-go-0.0.20230223-r7.apk2024-10-25 22:13 1.1M 
[   ]winetricks-doc-20240105-r0.apk2024-11-12 11:49 3.8K 
[   ]winetricks-bash-completion-20240105-r0.apk2024-11-12 11:49 8.6K 
[   ]winetricks-20240105-r0.apk2024-11-12 11:49 177K 
[   ]wine-staging-doc-9.21-r0.apk2024-11-10 04:18 43K 
[   ]wine-staging-dev-9.21-r0.apk2024-11-10 04:18 10M 
[   ]wine-staging-9.21-r0.apk2024-11-10 04:18 67M 
[   ]wine-mono-9.3.0-r0.apk2024-11-12 11:50 80M 
[   ]wiki-tui-doc-0.8.2-r1.apk2024-10-25 22:13 4.6K 
[   ]wiki-tui-0.8.2-r1.apk2024-10-25 22:13 1.5M 
[   ]wiimms-szs-tools-doc-2.26a-r0.apk2024-10-25 22:13 50K 
[   ]wiimms-szs-tools-2.26a-r0.apk2024-10-25 22:13 4.9M 
[   ]wiimms-iso-tools-doc-3.04a-r1.apk2024-10-25 22:13 65K 
[   ]wiimms-iso-tools-3.04a-r1.apk2024-10-25 22:13 3.7M 
[   ]wget2-libs-2.1.0-r0.apk2024-10-25 22:13 221K 
[   ]wget2-doc-2.1.0-r0.apk2024-10-25 22:13 70K 
[   ]wget2-dev-2.1.0-r0.apk2024-10-25 22:13 1.1M 
[   ]wget2-dbg-2.1.0-r0.apk2024-10-25 22:13 795K 
[   ]wget2-2.1.0-r0.apk2024-10-25 22:13 115K 
[   ]wgcf-zsh-completion-2.2.22-r3.apk2024-10-25 22:13 4.0K 
[   ]wgcf-fish-completion-2.2.22-r3.apk2024-10-25 22:13 4.3K 
[   ]wgcf-bash-completion-2.2.22-r3.apk2024-10-25 22:13 5.1K 
[   ]wgcf-2.2.22-r3.apk2024-10-25 22:13 3.8M 
[   ]wf-shell-doc-0.8.1-r0.apk2024-10-25 22:13 2.2K 
[   ]wf-shell-dev-0.8.1-r0.apk2024-10-25 22:13 1.7K 
[   ]wf-shell-0.8.1-r0.apk2024-10-25 22:13 6.1M 
[   ]wf-config-dev-0.8.0-r1.apk2024-10-25 22:13 16K 
[   ]wf-config-0.8.0-r1.apk2024-10-25 22:13 111K 
[   ]welle-io-doc-2.5-r0.apk2024-10-25 22:13 4.0K 
[   ]welle-io-2.5-r0.apk2024-10-25 22:13 419K 
[   ]welle-cli-2.5-r0.apk2024-10-25 22:13 292K 
[   ]weggli-0.2.4-r1.apk2024-10-25 22:13 824K 
[   ]wcm-0.8.0-r0.apk2024-10-25 22:13 366K 
[   ]wch-isp-udev-rules-0.4.1-r2.apk2024-10-25 22:13 1.6K 
[   ]wch-isp-doc-0.4.1-r2.apk2024-10-25 22:13 2.7K 
[   ]wch-isp-0.4.1-r2.apk2024-10-25 22:13 10K 
[   ]wbg-1.2.0-r0.apk2024-10-25 22:13 38K 
[   ]wayqt-dev-0.2.0-r0.apk2024-10-25 22:13 18K 
[   ]wayqt-0.2.0-r0.apk2024-10-25 22:13 106K 
[   ]waynergy-0.0.17-r0.apk2024-10-25 22:13 46K 
[   ]waylevel-1.0.0-r1.apk2024-10-25 22:13 306K 
[   ]wayfire-plugins-extra-0.8.1-r1.apk2024-10-25 22:13 602K 
[   ]wayfire-doc-0.8.1-r1.apk2024-10-25 22:13 2.7K 
[   ]wayfire-dev-0.8.1-r1.apk2024-10-25 22:13 129K 
[   ]wayfire-0.8.1-r1.apk2024-10-25 22:13 2.5M 
[   ]way-displays-doc-1.8.1-r2.apk2024-10-25 22:13 4.1K 
[   ]way-displays-1.8.1-r2.apk2024-10-25 22:13 82K 
[   ]watershot-0.2.0-r0.apk2024-10-25 22:13 1.7M 
[   ]watchmate-0.5.2-r0.apk2024-10-25 22:13 2.3M 
[   ]watchdog-doc-5.16-r2.apk2024-10-25 22:13 14K 
[   ]watchdog-5.16-r2.apk2024-10-25 22:13 41K 
[   ]watchbind-doc-0.2.1-r1.apk2024-10-25 22:13 6.6K 
[   ]watchbind-0.2.1-r1.apk2024-10-25 22:13 1.1M 
[   ]wasm-tools-doc-1.215.0-r0.apk2024-10-25 22:13 7.2K 
[   ]wasm-tools-1.215.0-r0.apk2024-10-25 22:13 2.7M 
[   ]warpinator-nemo-1.8.6-r0.apk2024-11-12 12:29 4.0K 
[   ]warpinator-lang-1.8.6-r0.apk2024-11-12 12:29 224K 
[   ]warpinator-1.8.6-r0.apk2024-11-12 12:29 210K 
[   ]walk-sor-doc-0_git20190920-r1.apk2024-10-25 22:13 7.8K 
[   ]walk-sor-0_git20190920-r1.apk2024-10-25 22:13 5.6K 
[   ]walk-1.10.0-r0.apk2024-11-20 23:26 1.3M 
[   ]wakeonlan-doc-0.42-r0.apk2024-10-25 22:13 7.5K 
[   ]wakeonlan-0.42-r0.apk2024-10-25 22:13 4.5K 
[   ]waifu2x-converter-cpp-5.3.4-r7.apk2024-10-25 22:13 12M 
[   ]wabt-doc-1.0.36-r0.apk2024-10-25 22:13 14K 
[   ]wabt-1.0.36-r0.apk2024-10-25 22:13 4.5M 
[   ]w_scan2-doc-1.0.15-r0.apk2024-10-25 22:13 4.1K 
[   ]w_scan2-1.0.15-r0.apk2024-10-25 22:13 128K 
[   ]vym-doc-2.9.26-r0.apk2024-10-25 22:13 3.4M 
[   ]vym-2.9.26-r0.apk2024-10-25 22:13 2.9M 
[   ]volumeicon-lang-0.5.1-r1.apk2024-10-25 22:13 3.8K 
[   ]volumeicon-0.5.1-r1.apk2024-10-25 22:13 45K 
[   ]volatility3-pyc-2.7.0-r0.apk2024-10-25 22:13 854K 
[   ]volatility3-doc-2.7.0-r0.apk2024-10-25 22:13 3.1K 
[   ]volatility3-2.7.0-r0.apk2024-10-25 22:13 465K 
[   ]voikko-fi-2.5-r0.apk2024-10-25 22:13 1.6M 
[   ]vmtouch-doc-1.3.1-r0.apk2024-10-25 22:13 8.0K 
[   ]vmtouch-1.3.1-r0.apk2024-10-25 22:13 14K 
[   ]vkbasalt-doc-0.3.2.10-r0.apk2024-10-25 22:13 3.1K 
[   ]vkbasalt-0.3.2.10-r0.apk2024-10-25 22:13 408K 
[   ]vit-pyc-2.3.2-r1.apk2024-10-25 22:13 151K 
[   ]vit-2.3.2-r1.apk2024-10-25 22:13 80K 
[   ]visidata-zsh-completion-2.11.1-r2.apk2024-10-25 22:13 7.2K 
[   ]visidata-pyc-2.11.1-r2.apk2024-10-25 22:13 497K 
[   ]visidata-doc-2.11.1-r2.apk2024-10-25 22:13 16K 
[   ]visidata-2.11.1-r2.apk2024-10-25 22:13 248K 
[   ]virtme-ng-bash-completion-1.31-r0.apk2024-10-25 22:13 2.6K 
[   ]virtme-ng-1.31-r0.apk2024-10-25 22:13 178K 
[   ]virter-zsh-completion-0.27.0-r3.apk2024-10-25 22:13 4.0K 
[   ]virter-fish-completion-0.27.0-r3.apk2024-10-25 22:13 4.3K 
[   ]virter-doc-0.27.0-r3.apk2024-10-25 22:13 15K 
[   ]virter-bash-completion-0.27.0-r3.apk2024-10-25 22:13 5.1K 
[   ]virter-0.27.0-r3.apk2024-10-25 22:13 5.4M 
[   ]virtctl-zsh-completion-1.3.1-r0.apk2024-10-25 22:13 4.0K 
[   ]virtctl-fish-completion-1.3.1-r0.apk2024-10-25 22:13 4.3K 
[   ]virtctl-bash-completion-1.3.1-r0.apk2024-10-25 22:13 5.1K 
[   ]virtctl-1.3.1-r0.apk2024-10-25 22:13 14M 
[   ]vim-rust-305-r0.apk2024-10-25 22:13 20K 
[   ]vim-airline-doc-0.11-r0.apk2024-10-25 22:13 12K 
[   ]vim-airline-0.11-r0.apk2024-10-25 22:13 86K 
[   ]vile-doc-9.8z-r0.apk2024-10-25 22:13 15K 
[   ]vile-9.8z-r0.apk2024-10-25 22:13 2.1M 
[   ]viewnior-lang-1.8-r1.apk2024-10-25 22:13 85K 
[   ]viewnior-doc-1.8-r1.apk2024-10-25 22:13 2.1K 
[   ]viewnior-1.8-r1.apk2024-10-25 22:13 75K 
[   ]video-trimmer-lang-0.9.0-r0.apk2024-10-25 22:13 91K 
[   ]video-trimmer-0.9.0-r0.apk2024-10-25 22:13 796K 
[   ]vidcutter-pyc-6.0.5.3-r0.apk2024-10-25 22:13 1.9M 
[   ]vidcutter-doc-6.0.5.3-r0.apk2024-10-25 22:13 24K 
[   ]vidcutter-6.0.5.3-r0.apk2024-10-25 22:13 2.8M 
[   ]vice-doc-3.8-r0.apk2024-10-25 22:13 2.2M 
[   ]vice-3.8-r0.apk2024-10-25 22:13 13M 
[   ]vfd-configurations-0_git20230612-r0.apk2024-10-25 22:13 25K 
[   ]vera++-1.3.0-r10.apk2024-10-25 22:13 261K 
[   ]venc-pyc-3.2.4-r0.apk2024-10-25 22:13 128K 
[   ]venc-3.2.4-r0.apk2024-10-25 22:13 251K 
[   ]vectoroids-doc-1.1.0-r2.apk2024-10-25 22:13 2.3K 
[   ]vectoroids-1.1.0-r2.apk2024-10-25 22:13 281K 
[   ]vdr-plugin-dvbapi-lang-2.2.5-r0.apk2024-10-25 22:13 2.5K 
[   ]vdr-plugin-dvbapi-2.2.5-r0.apk2024-10-25 22:13 62K 
[   ]vcstool-zsh-completion-0.3.0-r5.apk2024-10-25 22:13 1.7K 
[   ]vcstool-tcsh-completion-0.3.0-r5.apk2024-10-25 22:13 1.6K 
[   ]vcstool-pyc-0.3.0-r5.apk2024-10-25 22:13 58K 
[   ]vcstool-bash-completion-0.3.0-r5.apk2024-10-25 22:13 1.8K 
[   ]vcstool-0.3.0-r5.apk2024-10-25 22:13 35K 
[   ]vcsh-zsh-completion-2.0.5-r0.apk2024-10-25 22:13 2.9K 
[   ]vcsh-doc-2.0.5-r0.apk2024-10-25 22:13 27K 
[   ]vcsh-bash-completion-2.0.5-r0.apk2024-10-25 22:13 2.9K 
[   ]vcsh-2.0.5-r0.apk2024-10-25 22:13 8.8K 
[   ]vcdimager-doc-2.0.1-r3.apk2024-10-25 22:13 75K 
[   ]vcdimager-dev-2.0.1-r3.apk2024-10-25 22:13 120K 
[   ]vcdimager-2.0.1-r3.apk2024-10-25 22:13 479K 
[   ]vbindiff-doc-3.0_beta5-r1.apk2024-10-25 22:13 5.5K 
[   ]vbindiff-3.0_beta5-r1.apk2024-10-25 22:13 22K 
[   ]varnish-modules-doc-0.24.0-r0.apk2024-10-25 22:13 21K 
[   ]varnish-modules-0.24.0-r0.apk2024-10-25 22:13 39K 
[   ]vals-0.37.6-r0.apk2024-10-25 22:13 28M 
[   ]uxplay-doc-1.70-r0.apk2024-10-25 22:13 4.3K 
[   ]uxplay-1.70-r0.apk2024-10-25 22:13 208K 
[   ]uxn-doc-1.0-r0.apk2024-10-25 22:13 4.2K 
[   ]uxn-1.0-r0.apk2024-10-25 22:13 43K 
[   ]uucp-doc-1.07-r6.apk2024-10-25 22:13 118K 
[   ]uucp-1.07-r6.apk2024-10-25 22:13 479K 
[   ]utop-full-2.9.1-r4.apk2024-10-25 22:13 13M 
[   ]utop-emacs-2.9.1-r4.apk2024-10-25 22:13 13K 
[   ]utop-doc-2.9.1-r4.apk2024-10-25 22:13 5.7K 
[   ]utop-common-2.9.1-r4.apk2024-10-25 22:13 2.0K 
[   ]utop-2.9.1-r4.apk2024-10-25 22:13 13M 
[   ]ustream-ssl-dev-20220116-r1.apk2024-10-25 22:13 2.6K 
[   ]ustream-ssl-20220116-r1.apk2024-10-25 22:13 7.7K 
[   ]ustr-static-1.0.4-r1.apk2024-10-25 22:13 147K 
[   ]ustr-doc-1.0.4-r1.apk2024-10-25 22:13 97K 
[   ]ustr-dev-1.0.4-r1.apk2024-10-25 22:13 91K 
[   ]ustr-debug-1.0.4-r1.apk2024-10-25 22:13 77K 
[   ]ustr-1.0.4-r1.apk2024-10-25 22:13 54K 
[   ]usbmuxd-doc-1.1.1-r8.apk2024-10-30 23:44 2.9K 
[   ]usbmuxd-1.1.1-r8.apk2024-10-30 23:44 35K 
[   ]usbguard-notifier-doc-0.1.1-r0.apk2024-10-25 22:13 4.9K 
[   ]usbguard-notifier-0.1.1-r0.apk2024-10-25 22:13 35K 
[   ]urlwatch-pyc-2.28-r2.apk2024-10-25 22:13 101K 
[   ]urlwatch-doc-2.28-r2.apk2024-10-25 22:13 33K 
[   ]urlwatch-2.28-r2.apk2024-10-25 22:13 49K 
[   ]uranium-5.2.2-r3.apk2024-10-25 22:13 596K 
[   ]upterm-zsh-completion-0.14.3-r0.apk2024-10-25 22:13 4.0K 
[   ]upterm-server-openrc-0.14.3-r0.apk2024-10-25 22:13 1.9K 
[   ]upterm-server-0.14.3-r0.apk2024-10-25 22:13 5.6M 
[   ]upterm-doc-0.14.3-r0.apk2024-10-25 22:13 6.5K 
[   ]upterm-bash-completion-0.14.3-r0.apk2024-10-25 22:13 5.5K 
[   ]upterm-0.14.3-r0.apk2024-10-25 22:13 5.8M 
[   ]up-0.4-r24.apk2024-10-25 22:13 1.1M 
[   ]unit-php84-1.33.0-r1.apk2024-10-25 22:13 31K 
[   ]unit-php81-1.33.0-r0.apk2024-10-25 22:13 31K 
[   ]unicorn-dev-2.0.1-r4.apk2024-10-25 22:13 10M 
[   ]unicorn-2.0.1-r4.apk2024-10-25 22:13 8.5M 
[   ]undock-0.8.0-r1.apk2024-10-25 22:13 10M 
[   ]ueberzug-pyc-18.2.3-r0.apk2024-10-25 22:13 64K 
[   ]ueberzug-18.2.3-r0.apk2024-10-25 22:13 63K 
[   ]udpt-openrc-3.1.2-r0.apk2024-10-25 22:13 1.8K 
[   ]udpt-3.1.2-r0.apk2024-10-25 22:13 716K 
[   ]uclient-fetch-20210514-r0.apk2024-10-25 22:13 10K 
[   ]uclient-dev-20210514-r0.apk2024-10-25 22:13 3.2K 
[   ]uclient-20210514-r0.apk2024-10-25 22:13 14K 
[   ]ubuntu-archive-keyring-doc-2023.11.28.1-r0.apk2024-10-25 22:13 2.3K 
[   ]ubuntu-archive-keyring-2023.11.28.1-r0.apk2024-10-25 22:13 16K 
[   ]ubase-doc-20200605-r3.apk2024-10-25 22:13 21K 
[   ]ubase-20200605-r3.apk2024-10-25 22:13 46K 
[   ]uasm-2.56.2-r0.apk2024-10-25 22:13 273K 
[   ]u1db-qt-0.1.8-r0.apk2024-10-25 22:13 105K 
[   ]typstfmt-doc-0.2.7-r0.apk2024-10-25 22:13 2.3K 
[   ]typstfmt-0.2.7-r0.apk2024-10-25 22:13 761K 
[   ]typos-doc-1.23.2-r0.apk2024-10-25 22:13 6.1K 
[   ]typos-1.23.2-r0.apk2024-10-25 22:13 2.3M 
[   ]twinkle-doc-1.10.3-r2.apk2024-10-25 22:13 3.6K 
[   ]twinkle-1.10.3-r2.apk2024-10-25 22:13 2.5M 
[   ]twiggy-0.6.0-r3.apk2024-10-25 22:13 792K 
[   ]twemproxy-doc-0.5.0-r0.apk2024-10-25 22:13 17K 
[   ]twemproxy-0.5.0-r0.apk2024-10-25 22:13 71K 
[   ]turnstile-openrc-0.1.10-r3.apk2024-10-25 22:13 1.8K 
[   ]turnstile-doc-0.1.10-r3.apk2024-10-25 22:13 5.7K 
[   ]turnstile-0.1.10-r3.apk2024-10-25 22:13 39K 
[   ]turn-rs-openrc-3.1.0-r0.apk2024-10-25 22:13 2.0K 
[   ]turn-rs-doc-3.1.0-r0.apk2024-10-25 22:13 14K 
[   ]turn-rs-3.1.0-r0.apk2024-10-25 22:13 1.5M 
[   ]tuptime-openrc-5.2.2-r3.apk2024-10-25 22:13 1.8K 
[   ]tuptime-doc-5.2.2-r3.apk2024-10-25 22:13 3.8K 
[   ]tuptime-5.2.2-r3.apk2024-10-25 22:13 14K 
[   ]tup-vim-0.7.11-r0.apk2024-10-25 22:13 2.6K 
[   ]tup-doc-0.7.11-r0.apk2024-10-25 22:13 21K 
[   ]tup-0.7.11-r0.apk2024-10-25 22:13 271K 
[   ]tui-journal-doc-0.10.0-r0.apk2024-10-25 22:13 6.9K 
[   ]tui-journal-0.10.0-r0.apk2024-10-25 22:13 1.9M 
[   ]ttyper-1.5.0-r0.apk2024-10-25 22:13 573K 
[   ]tty-share-2.4.0-r13.apk2024-10-25 22:13 3.4M 
[   ]tty-proxy-0.0.2-r23.apk2024-10-25 22:13 2.3M 
[   ]tty-clock-doc-2.3_git20240104-r0.apk2024-10-25 22:13 3.1K 
[   ]tty-clock-2.3_git20240104-r0.apk2024-10-25 22:13 8.4K 
[   ]ttfautohint-libs-1.8.4-r0.apk2024-10-25 22:13 103K 
[   ]ttfautohint-gui-1.8.4-r0.apk2024-10-25 22:13 61K 
[   ]ttfautohint-doc-1.8.4-r0.apk2024-10-25 22:13 8.1K 
[   ]ttfautohint-dev-1.8.4-r0.apk2024-10-25 22:13 153K 
[   ]ttfautohint-1.8.4-r0.apk2024-10-25 22:13 28K 
[   ]ttdl-doc-4.5.0-r0.apk2024-11-21 20:52 35K 
[   ]ttdl-4.5.0-r0.apk2024-11-21 20:52 906K 
[   ]tsung-1.8.0-r2.apk2024-10-25 22:13 730K 
[   ]trivy-0.50.4-r3.apk2024-10-25 22:13 75M 
[   ]trippy-zsh-completion-0.11.0-r0.apk2024-10-25 22:13 4.7K 
[   ]trippy-bash-completion-0.11.0-r0.apk2024-10-25 22:13 3.1K 
[   ]trippy-0.11.0-r0.apk2024-10-25 22:13 1.9M 
[   ]trigger-rally-doc-0.6.7-r2.apk2024-10-25 22:13 28K 
[   ]trigger-rally-data-0.6.7-r2.apk2024-10-25 22:13 352M 
[   ]trigger-rally-0.6.7-r2.apk2024-10-25 22:12 317K 
[   ]tremc-zsh-completion-0.9.3-r0.apk2024-10-25 22:12 1.6K 
[   ]tremc-doc-0.9.3-r0.apk2024-10-25 22:12 2.6K 
[   ]tremc-bash-completion-0.9.3-r0.apk2024-10-25 22:12 1.7K 
[   ]tremc-0.9.3-r0.apk2024-10-25 22:12 48K 
[   ]tree-sitter-xml-doc-0.7.0-r0.apk2024-11-14 14:12 2.2K 
[   ]tree-sitter-xml-0.7.0-r0.apk2024-11-14 14:12 31K 
[   ]tree-sitter-vimdoc-doc-3.0.0-r1.apk2024-11-21 21:05 7.2K 
[   ]tree-sitter-vimdoc-dev-3.0.0-r1.apk2024-11-21 21:05 36K 
[   ]tree-sitter-vimdoc-3.0.0-r1.apk2024-11-21 21:05 27K 
[   ]tree-sitter-ssh-client-config-2024.11.14-r0.apk2024-11-14 14:12 81K 
[   ]tree-sitter-scheme-doc-0.23.0-r0.apk2024-10-25 22:12 2.2K 
[   ]tree-sitter-scheme-0.23.0-r0.apk2024-10-25 22:12 23K 
[   ]tree-sitter-ron-0.2.0-r0.apk2024-10-25 22:12 28K 
[   ]tree-sitter-pascal-doc-0.9.1-r0.apk2024-10-25 22:12 2.3K 
[   ]tree-sitter-pascal-0.9.1-r0.apk2024-10-25 22:12 81K 
[   ]tree-sitter-nix-doc-0_git20230713-r0.apk2024-10-25 22:12 2.3K 
[   ]tree-sitter-nix-0_git20230713-r0.apk2024-10-25 22:12 22K 
[   ]tree-sitter-markdown-doc-0.3.2-r0.apk2024-10-25 22:12 2.2K 
[   ]tree-sitter-markdown-0.3.2-r0.apk2024-10-25 22:12 123K 
[   ]tree-sitter-make-0_git20211216-r2.apk2024-10-25 22:12 41K 
[   ]tree-sitter-kotlin-0.3.8-r0.apk2024-10-25 22:12 318K 
[   ]tree-sitter-just-0_git20230318-r0.apk2024-10-25 22:12 14K 
[   ]tree-sitter-hcl-1.1.0-r1.apk2024-10-25 22:12 42K 
[   ]tree-sitter-haskell-0.23.0-r0.apk2024-10-25 22:12 284K 
[   ]tree-sitter-hare-0_git20230616-r1.apk2024-10-25 22:12 33K 
[   ]tree-sitter-gleam-1.0.0-r0.apk2024-10-25 22:12 42K 
[   ]tree-sitter-git-rebase-0_git20220110-r2.apk2024-10-25 22:12 7.0K 
[   ]tree-sitter-git-diff-0_git20230730-r0.apk2024-10-25 22:12 10K 
[   ]tree-sitter-git-commit-0_git20211225-r2.apk2024-10-25 22:12 13K 
[   ]tree-sitter-dart-0_git20230123-r1.apk2024-10-25 22:12 90K 
[   ]tree-sitter-clojure-0.0.12-r0.apk2024-10-25 22:12 23K 
[   ]tree-sitter-caddy-doc-0_git20230322-r0.apk2024-10-25 22:12 2.3K 
[   ]tree-sitter-caddy-0_git20230322-r0.apk2024-10-25 22:12 70K 
[   ]tree-sitter-c-sharp-0.23.1-r0.apk2024-11-13 01:51 345K 
[   ]tre-static-0.8.0-r2.apk2024-10-25 22:12 28K 
[   ]tre-dev-0.8.0-r2.apk2024-10-25 22:12 5.2K 
[   ]tre-0.8.0-r2.apk2024-10-25 22:12 27K 
[   ]trantor-doc-1.5.18-r0.apk2024-10-25 22:12 2.6K 
[   ]trantor-dev-1.5.18-r0.apk2024-10-25 22:12 34K 
[   ]trantor-1.5.18-r0.apk2024-10-25 22:12 252K 
[   ]transmission-remote-gtk-lang-1.6.0-r0.apk2024-10-25 22:12 106K 
[   ]transmission-remote-gtk-doc-1.6.0-r0.apk2024-10-25 22:12 4.2K 
[   ]transmission-remote-gtk-1.6.0-r0.apk2024-10-25 22:12 151K 
[   ]transito-doc-0.8.4-r0.apk2024-11-19 03:47 753K 
[   ]transito-0.8.4-r0.apk2024-11-19 03:47 8.3M 
[   ]trace-cmd-doc-3.3.1-r0.apk2024-11-01 18:22 171K 
[   ]trace-cmd-bash-completion-3.3.1-r0.apk2024-11-01 18:22 3.4K 
[   ]trace-cmd-3.3.1-r0.apk2024-11-01 18:22 173K 
[   ]tpm2-pkcs11-pyc-1.9.1-r0.apk2024-10-25 22:12 69K 
[   ]tpm2-pkcs11-dev-1.9.1-r0.apk2024-10-25 22:12 1.9K 
[   ]tpm2-pkcs11-1.9.1-r0.apk2024-10-25 22:12 128K 
[   ]toybox-0.8.11-r1.apk2024-10-25 22:12 284K 
[   ]toss-1.1-r0.apk2024-10-25 22:12 11K 
[   ]torrent-file-editor-0.3.18-r0.apk2024-10-25 22:12 376K 
[   ]topgit-doc-0.19.13-r1.apk2024-10-25 22:12 73K 
[   ]topgit-bash-completion-0.19.13-r1.apk2024-10-25 22:12 4.0K 
[   ]topgit-0.19.13-r1.apk2024-10-25 22:12 127K 
[   ]tootik-openrc-0.13.0-r0.apk2024-11-21 06:10 3.1K 
[   ]tootik-0.13.0-r0.apk2024-11-21 06:10 3.9M 
[   ]tonutils-reverse-proxy-doc-0.3.3-r0.apk2024-10-25 22:12 2.4K 
[   ]tonutils-reverse-proxy-0.3.3-r0.apk2024-10-25 22:12 2.7M 
[   ]toml2json-doc-1.3.1-r0.apk2024-10-25 22:12 3.3K 
[   ]toml2json-1.3.1-r0.apk2024-10-25 22:12 370K 
[   ]today-doc-6.2.0-r0.apk2024-10-25 22:12 3.3K 
[   ]today-6.2.0-r0.apk2024-10-25 22:12 3.1K 
[   ]toapk-1.0-r0.apk2024-10-25 22:12 11K 
[   ]tnef-doc-1.4.18-r0.apk2024-10-25 22:12 4.2K 
[   ]tnef-1.4.18-r0.apk2024-10-25 22:12 25K 
[   ]tncattach-doc-0.1.9-r1.apk2024-10-25 22:12 3.9K 
[   ]tncattach-0.1.9-r1.apk2024-10-25 22:12 23K 
[   ]tmux-resurrect-doc-4.0.0-r0.apk2024-10-25 22:12 8.4K 
[   ]tmux-resurrect-4.0.0-r0.apk2024-10-25 22:12 14K 
[   ]tmpmail-doc-1.2.3-r2.apk2024-10-25 22:12 3.2K 
[   ]tmpmail-1.2.3-r2.apk2024-10-25 22:12 7.0K 
[   ]tmpl-doc-0.4.0-r6.apk2024-10-25 22:12 2.2K 
[   ]tmpl-0.4.0-r6.apk2024-10-25 22:12 2.5M 
[   ]tmate-doc-2.4.0-r4.apk2024-10-25 22:12 72K 
[   ]tmate-2.4.0-r4.apk2024-10-25 22:12 296K 
[   ]tldr-python-client-pyc-3.2.0-r2.apk2024-10-25 22:12 14K 
[   ]tldr-python-client-doc-3.2.0-r2.apk2024-10-25 22:12 3.5K 
[   ]tldr-python-client-3.2.0-r2.apk2024-10-25 22:12 12K 
[   ]tkts-2.0-r0.apk2024-10-25 22:12 17K 
[   ]tkey-ssh-agent-doc-1.0.0-r0.apk2024-11-14 02:02 4.4K 
[   ]tkey-ssh-agent-1.0.0-r0.apk2024-11-14 02:02 1.9M 
[   ]tk9-doc-9.0.0-r0.apk2024-10-25 22:12 1.3M 
[   ]tk9-dev-9.0.0-r0.apk2024-10-25 22:12 82K 
[   ]tk9-9.0.0-r0.apk2024-10-25 22:12 839K 
[   ]tiptop-doc-2.3.1-r2.apk2024-10-25 22:12 7.1K 
[   ]tiptop-2.3.1-r2.apk2024-10-25 22:12 34K 
[   ]tinyscheme-1.42-r1.apk2024-10-25 22:12 63K 
[   ]tinygltf-dev-2.9.3-r0.apk2024-11-07 23:57 57K 
[   ]tinygltf-2.9.3-r0.apk2024-11-07 23:57 158K 
[   ]tinycbor-dev-0.6.0-r1.apk2024-10-25 22:12 8.4K 
[   ]tinycbor-0.6.0-r1.apk2024-10-25 22:12 17K 
[   ]tiny-doc-0.12.0-r0.apk2024-10-25 22:12 5.4K 
[   ]tiny-0.12.0-r0.apk2024-10-25 22:12 582K 
[   ]tintin-2.02.31-r0.apk2024-10-25 22:12 1.8M 
[   ]timewarrior-doc-1.7.1-r0.apk2024-10-25 22:12 22K 
[   ]timewarrior-1.7.1-r0.apk2024-10-25 22:12 290K 
[   ]timew-doc-1.4.3-r1.apk2024-10-25 22:12 53K 
[   ]timew-bash-completion-1.4.3-r1.apk2024-10-25 22:12 2.8K 
[   ]timew-1.4.3-r1.apk2024-10-25 22:12 294K 
[   ]timeshift-lang-24.06.3-r0.apk2024-10-25 22:12 884K 
[   ]timeshift-doc-24.06.3-r0.apk2024-10-25 22:12 3.2K 
[   ]timeshift-24.06.3-r0.apk2024-10-25 22:12 475K 
[   ]time-doc-1.9-r1.apk2024-10-25 22:12 15K 
[   ]time-1.9-r1.apk2024-10-25 22:12 13K 
[   ]ticker-zsh-completion-4.6.3-r0.apk2024-10-25 22:12 3.7K 
[   ]ticker-fish-completion-4.6.3-r0.apk2024-10-25 22:12 3.9K 
[   ]ticker-bash-completion-4.6.3-r0.apk2024-10-25 22:12 4.6K 
[   ]ticker-4.6.3-r0.apk2024-10-25 22:12 3.8M 
[   ]tick-doc-1.2.1-r0.apk2024-10-25 22:12 5.5K 
[   ]tick-1.2.1-r0.apk2024-10-25 22:12 11K 
[   ]tic-80-1.1.2837-r4.apk2024-10-25 22:12 16M 
[   ]thunarx-python-doc-0.5.2-r2.apk2024-10-25 22:12 25K 
[   ]thunarx-python-0.5.2-r2.apk2024-10-25 22:12 10K 
[   ]thunar-gtkhash-plugin-1.5-r0.apk2024-10-25 22:12 26K 
[   ]thumbdrives-0.3.2-r2.apk2024-10-25 22:12 11K 
[   ]throttled-pyc-0.10.0-r0.apk2024-10-25 22:12 28K 
[   ]throttled-openrc-0.10.0-r0.apk2024-10-25 22:12 1.6K 
[   ]throttled-0.10.0-r0.apk2024-10-25 22:12 15K 
[   ]thermald-openrc-2.5.8-r0.apk2024-11-12 12:29 1.7K 
[   ]thermald-doc-2.5.8-r0.apk2024-11-12 12:29 8.6K 
[   ]thermald-2.5.8-r0.apk2024-11-12 12:29 181K 
[   ]theme.sh-doc-1.1.5-r0.apk2024-10-25 22:12 2.3K 
[   ]theme.sh-1.1.5-r0.apk2024-10-25 22:12 39K 
[   ]thelounge-openrc-4.4.3-r0.apk2024-10-25 22:12 2.0K 
[   ]thelounge-doc-4.4.3-r0.apk2024-10-25 22:12 2.3K 
[   ]thelounge-4.4.3-r0.apk2024-10-25 22:12 28M 
[   ]thefuck-pyc-3.32-r5.apk2024-10-25 22:12 156K 
[   ]thefuck-3.32-r5.apk2024-10-25 22:12 83K 
[   ]theforceengine-doc-1.09.540-r1.apk2024-10-25 22:12 6.3M 
[   ]theforceengine-1.09.540-r1.apk2024-10-25 22:12 7.0M 
[   ]tfupdate-doc-0.8.2-r1.apk2024-10-25 22:12 2.3K 
[   ]tfupdate-0.8.2-r1.apk2024-10-25 22:12 4.6M 
[   ]texlab-5.19.0-r0.apk2024-10-25 22:12 8.6M 
[   ]termusic-mpv-0.7.11-r0.apk2024-10-25 22:12 6.0M 
[   ]termcolor-dev-2.1.0-r0.apk2024-10-25 22:12 6.8K 
[   ]termcolor-2.1.0-r0.apk2024-10-25 22:12 1.5K 
[   ]termbox-static-1.1.2-r1.apk2024-10-25 22:12 12K 
[   ]termbox-dev-1.1.2-r1.apk2024-10-25 22:12 5.7K 
[   ]termbox-1.1.2-r1.apk2024-10-25 22:12 11K 
[   ]tere-doc-1.6.0-r0.apk2024-10-25 22:12 14K 
[   ]tere-1.6.0-r0.apk2024-10-25 22:12 1.0M 
[   ]tenv-zsh-completion-3.2.4-r2.apk2024-10-25 22:12 4.0K 
[   ]tenv-fish-completion-3.2.4-r2.apk2024-10-25 22:12 4.3K 
[   ]tenv-bash-completion-3.2.4-r2.apk2024-10-25 22:12 5.0K 
[   ]tenv-3.2.4-r2.apk2024-10-25 22:12 9.3M 
[   ]templ-0.2.778-r0.apk2024-10-25 22:12 4.9M 
[   ]tellico-lang-4.0.1-r0.apk2024-10-25 22:12 1.4M 
[   ]tellico-doc-4.0.1-r0.apk2024-10-25 22:12 4.1M 
[   ]tellico-4.0.1-r0.apk2024-10-25 22:12 3.0M 
[   ]telegram-tdlib-static-1.8.39-r0.apk2024-11-01 01:07 18M 
[   ]telegram-tdlib-dev-1.8.39-r0.apk2024-11-01 01:07 171K 
[   ]telegram-tdlib-1.8.39-r0.apk2024-11-01 01:07 7.1M 
[   ]telegram-bot-api-7.11-r0.apk2024-11-01 01:07 7.1M 
[   ]tealdeer-zsh-completion-1.7.0-r0.apk2024-10-25 22:12 2.3K 
[   ]tealdeer-fish-completion-1.7.0-r0.apk2024-10-25 22:12 2.2K 
[   ]tealdeer-bash-completion-1.7.0-r0.apk2024-10-25 22:12 2.0K 
[   ]tealdeer-1.7.0-r0.apk2024-10-25 22:12 896K 
[   ]tdrop-doc-0.5.0-r0.apk2024-10-25 22:12 8.9K 
[   ]tdrop-0.5.0-r0.apk2024-10-25 22:12 12K 
[   ]tcl9-doc-9.0.0-r0.apk2024-10-25 22:12 1.4M 
[   ]tcl9-dev-9.0.0-r0.apk2024-10-25 22:12 185K 
[   ]tcl9-9.0.0-r0.apk2024-10-25 22:12 1.9M 
[   ]tcl-curl-doc-7.22.0-r0.apk2024-10-25 22:12 38K 
[   ]tcl-curl-7.22.0-r0.apk2024-10-25 22:12 32K 
[   ]tcc-libs-static-0.9.27_git20241020-r1.apk2024-10-25 22:12 9.1K 
[   ]tcc-libs-0.9.27_git20241020-r1.apk2024-10-25 22:12 134K 
[   ]tcc-doc-0.9.27_git20241020-r1.apk2024-10-25 22:12 50K 
[   ]tcc-dev-0.9.27_git20241020-r1.apk2024-10-25 22:12 44K 
[   ]tcc-0.9.27_git20241020-r1.apk2024-10-25 22:12 11K 
[   ]tayga-doc-0.9.2-r0.apk2024-10-25 22:12 5.6K 
[   ]tayga-0.9.2-r0.apk2024-10-25 22:12 25K 
[   ]tauri-cli-1.6.2-r0.apk2024-11-01 01:07 4.8M 
[   ]taskcafe-openrc-0.3.6-r8.apk2024-10-25 22:12 1.8K 
[   ]taskcafe-0.3.6-r8.apk2024-10-25 22:12 14M 
[   ]tartube-pyc-2.5.0-r1.apk2024-10-25 22:12 1.1M 
[   ]tartube-2.5.0-r1.apk2024-10-25 22:12 2.7M 
[   ]tanka-0.28.4-r0.apk2024-10-25 22:12 4.3M 
[   ]tanidvr-dhav2mkv-1.4.1-r1.apk2024-10-25 22:12 12K 
[   ]tanidvr-1.4.1-r1.apk2024-10-25 22:12 25K 
[   ]tangctl-0_git20220412-r19.apk2024-10-25 22:12 2.4M 
[   ]tang-openrc-14-r0.apk2024-10-25 22:12 2.0K 
[   ]tang-doc-14-r0.apk2024-10-25 22:12 21K 
[   ]tang-dbg-14-r0.apk2024-10-25 22:12 29K 
[   ]tang-14-r0.apk2024-10-25 22:12 16K 
[   ]tailspin-zsh-completion-3.0.0-r0.apk2024-10-25 22:12 2.5K 
[   ]tailspin-fish-completion-3.0.0-r0.apk2024-10-25 22:12 2.1K 
[   ]tailspin-doc-3.0.0-r0.apk2024-10-25 22:12 2.7K 
[   ]tailspin-bash-completion-3.0.0-r0.apk2024-10-25 22:12 2.2K 
[   ]tailspin-3.0.0-r0.apk2024-10-25 22:12 1.1M 
[   ]tachyon-scenes-0.99_beta6-r1.apk2024-10-25 22:12 1.9M 
[   ]tachyon-0.99_beta6-r1.apk2024-10-25 22:12 116K 
[   ]tabby-doc-3.1-r1.apk2024-10-25 22:12 2.3K 
[   ]tabby-3.1-r1.apk2024-10-25 22:12 31K 
[   ]t2sz-1.1.2-r0.apk2024-10-25 22:12 9.5K 
[   ]sysls-2-r3.apk2024-10-25 22:12 19K 
[   ]syncthing-gtk-pyc-0.9.4.5-r2.apk2024-10-25 22:12 221K 
[   ]syncthing-gtk-doc-0.9.4.5-r2.apk2024-10-25 22:12 2.2K 
[   ]syncthing-gtk-0.9.4.5-r2.apk2024-10-25 22:12 440K 
[   ]synapse-bt-openrc-1.0-r4.apk2024-10-25 22:12 1.8K 
[   ]synapse-bt-cli-1.0-r4.apk2024-10-25 22:12 1.0M 
[   ]synapse-bt-1.0-r4.apk2024-10-25 22:12 1.1M 
[   ]symengine-0.12.0-r0.apk2024-10-25 22:12 2.9M 
[   ]symbiyosys-0.36-r0.apk2024-10-25 22:12 38K 
[   ]sylpheed-imap-notify-1.1.0-r2.apk2024-10-25 22:12 8.5K 
[   ]sydbox-vim-3.21.3-r0.apk2024-10-25 22:12 5.2K 
[   ]sydbox-utils-3.21.3-r0.apk2024-10-25 22:12 6.3M 
[   ]sydbox-oci-3.21.3-r0.apk2024-10-25 22:12 1.8M 
[   ]sydbox-doc-3.21.3-r0.apk2024-10-25 22:12 84K 
[   ]sydbox-3.21.3-r0.apk2024-10-25 22:12 1.4M 
[   ]sxcs-doc-1.1.0-r0.apk2024-10-25 22:12 2.6K 
[   ]sxcs-1.1.0-r0.apk2024-10-25 22:12 8.3K 
[   ]swig3-doc-3.0.12-r3.apk2024-10-25 22:12 3.6K 
[   ]swig3-3.0.12-r3.apk2024-10-25 22:12 1.2M 
[   ]swi-prolog-xpce-doc-9.2.8-r0.apk2024-10-26 16:13 1.0M 
[   ]swi-prolog-xpce-9.2.8-r0.apk2024-10-26 16:13 922K 
[   ]swi-prolog-pyc-9.2.8-r0.apk2024-10-26 16:13 22K 
[   ]swi-prolog-doc-9.2.8-r0.apk2024-10-26 16:13 2.1M 
[   ]swi-prolog-9.2.8-r0.apk2024-10-26 16:13 5.0M 
[   ]swhkd-doc-1.2.1-r0.apk2024-10-25 22:12 6.2K 
[   ]swhkd-1.2.1-r0.apk2024-10-25 22:12 1.1M 
[   ]swayhide-0.2.1-r2.apk2024-10-25 22:12 263K 
[   ]sway-audio-idle-inhibit-0.1.2-r0.apk2024-10-25 22:12 10K 
[   ]swappy-lang-1.5.1-r0.apk2024-10-25 22:12 3.6K 
[   ]swappy-doc-1.5.1-r0.apk2024-10-25 22:12 3.7K 
[   ]swappy-1.5.1-r0.apk2024-10-25 22:12 33K 
[   ]swaks-doc-20240103.0-r0.apk2024-10-25 22:12 50K 
[   ]swaks-20240103.0-r0.apk2024-10-25 22:12 66K 
[   ]svls-doc-0.2.12-r0.apk2024-10-25 22:12 2.2K 
[   ]svls-0.2.12-r0.apk2024-10-25 22:12 3.4M 
[   ]svgbob-0.7.2-r0.apk2024-10-25 22:12 440K 
[   ]suru-icon-theme-20.05.1_git20221222-r1.apk2024-10-25 22:12 3.0M 
[   ]surfraw-doc-2.3.0-r0.apk2024-10-25 22:12 18K 
[   ]surfraw-2.3.0-r0.apk2024-10-25 22:12 79K 
[   ]surf-doc-2.1-r3.apk2024-10-25 22:12 4.6K 
[   ]surf-2.1-r3.apk2024-10-25 22:12 23K 
[   ]supermin-doc-5.2.2-r2.apk2024-10-25 22:12 9.4K 
[   ]supermin-5.2.2-r2.apk2024-10-25 22:12 493K 
[   ]supercollider-dev-3.13.0-r5.apk2024-10-25 22:12 41K 
[   ]supercollider-3.13.0-r5.apk2024-10-25 22:12 8.0M 
[   ]sudo-ldap-1.9.14-r1.apk2024-10-25 22:12 680K 
[   ]subtitleeditor-doc-0.54.0-r3.apk2024-10-25 22:12 2.9K 
[   ]subtitleeditor-dev-0.54.0-r3.apk2024-10-25 22:12 1.5K 
[   ]subtitleeditor-0.54.0-r3.apk2024-10-25 22:12 1.5M 
[   ]subliminal-pyc-2.2.1-r0.apk2024-10-25 22:12 135K 
[   ]subliminal-2.2.1-r0.apk2024-10-25 22:12 68K 
[   ]sublime-music-pyc-0.12.0-r1.apk2024-10-25 22:12 302K 
[   ]sublime-music-0.12.0-r1.apk2024-10-25 22:12 190K 
[   ]subdl-pyc-0_git20230616-r1.apk2024-10-25 22:12 14K 
[   ]subdl-0_git20230616-r1.apk2024-10-25 22:12 8.7K 
[   ]stw-doc-0.3-r0.apk2024-10-25 22:12 2.5K 
[   ]stw-0.3-r0.apk2024-10-25 22:12 8.0K 
[   ]sturmreader-lang-3.7.2-r0.apk2024-10-25 22:12 39K 
[   ]sturmreader-3.7.2-r0.apk2024-10-25 22:12 1.1M 
[   ]stubbyboot-efistub-1.0.2-r2.apk2024-10-25 22:12 25K 
[   ]stubbyboot-1.0.2-r2.apk2024-10-25 22:12 3.3K 
[   ]stone-soup-0.32.1-r0.apk2024-10-25 22:12 33M 
[   ]stockfish-16-r0.apk2024-10-25 22:12 33M 
[   ]sthttpd-openrc-2.27.1-r2.apk2024-10-25 22:12 2.0K 
[   ]sthttpd-doc-2.27.1-r2.apk2024-10-25 22:12 18K 
[   ]sthttpd-2.27.1-r2.apk2024-10-25 22:12 62K 
[   ]stern-zsh-completion-1.31.0-r0.apk2024-10-25 22:12 4.0K 
[   ]stern-fish-completion-1.31.0-r0.apk2024-10-25 22:12 4.3K 
[   ]stern-bash-completion-1.31.0-r0.apk2024-10-25 22:12 5.8K 
[   ]stern-1.31.0-r0.apk2024-10-25 22:12 19M 
[   ]steghide-doc-0.5.1.1-r0.apk2024-10-25 22:12 14K 
[   ]steghide-0.5.1.1-r0.apk2024-10-25 22:12 161K 
[   ]steamguard-cli-zsh-completion-0.9.6-r0.apk2024-10-25 22:12 3.6K 
[   ]steamguard-cli-bash-completion-0.9.6-r0.apk2024-10-25 22:12 2.5K 
[   ]steamguard-cli-0.9.6-r0.apk2024-10-25 22:12 2.2M 
[   ]stayrtr-openrc-0.6.1-r0.apk2024-10-25 22:12 2.0K 
[   ]stayrtr-0.6.1-r0.apk2024-10-25 22:12 10M 
[   ]startup-tools-2.0.3-r5.apk2024-10-25 22:12 13K 
[   ]startup-lang-2.0.3-r5.apk2024-10-25 22:12 17K 
[   ]startup-fish-completion-2.0.3-r5.apk2024-10-25 22:12 5.4K 
[   ]startup-doc-2.0.3-r5.apk2024-10-25 22:12 48K 
[   ]startup-dev-2.0.3-r5.apk2024-10-25 22:12 5.8K 
[   ]startup-bridge-udev-2.0.3-r5.apk2024-10-25 22:12 30K 
[   ]startup-bridge-dconf-2.0.3-r5.apk2024-10-25 22:12 30K 
[   ]startup-2.0.3-r5.apk2024-10-25 22:12 411K 
[   ]starfighter-doc-2.4-r0.apk2024-10-25 22:12 22K 
[   ]starfighter-2.4-r0.apk2024-10-25 22:12 48M 
[   ]stardict-lang-3.0.6-r6.apk2024-10-25 22:12 290K 
[   ]stardict-help-3.0.6-r6.apk2024-10-25 22:12 3.4M 
[   ]stardict-doc-3.0.6-r6.apk2024-10-25 22:12 2.2K 
[   ]stardict-3.0.6-r6.apk2024-10-25 22:12 1.0M 
[   ]sstp-client-doc-1.0.20-r0.apk2024-10-25 22:12 4.9K 
[   ]sstp-client-1.0.20-r0.apk2024-10-25 22:12 43K 
[   ]ssss-doc-0.5.7-r0.apk2024-10-25 22:12 3.3K 
[   ]ssss-0.5.7-r0.apk2024-10-25 22:12 13K 
[   ]sshuttle-pyc-1.1.2-r0.apk2024-10-25 22:12 101K 
[   ]sshuttle-doc-1.1.2-r0.apk2024-10-25 22:12 8.5K 
[   ]sshuttle-1.1.2-r0.apk2024-10-25 22:12 62K 
[   ]sshsrv-1.0-r7.apk2024-10-25 22:12 932K 
[   ]ssh-tools-1.8-r0.apk2024-10-25 22:12 26K 
[   ]ssh-honeypot-openrc-0.1.1-r1.apk2024-10-25 22:12 2.1K 
[   ]ssh-honeypot-0.1.1-r1.apk2024-10-25 22:12 8.5K 
[   ]ssh-cert-authority-2.0.0-r21.apk2024-10-25 22:12 4.7M 
[   ]ssdfs-tools-dev-4.09-r0.apk2024-10-25 22:12 18K 
[   ]ssdfs-tools-4.09-r0.apk2024-10-25 22:12 97K 
[   ]sregex-dev-0.0.1-r1.apk2024-10-25 22:12 27K 
[   ]sregex-0.0.1-r1.apk2024-10-25 22:12 23K 
[   ]srb2-data-2.2.13-r0.apk2024-10-25 22:12 138M 
[   ]srb2-2.2.13-r0.apk2024-10-25 22:12 1.7M 
[   ]srain-lang-1.8.0-r0.apk2024-11-18 20:18 35K 
[   ]srain-1.8.0-r0.apk2024-11-18 20:18 161K 
[   ]sqm-scripts-1.6.0-r0.apk2024-10-25 22:12 20K 
[   ]sqlmap-pyc-1.8.11-r0.apk2024-11-09 23:24 1.2M 
[   ]sqlmap-1.8.11-r0.apk2024-11-09 23:24 6.8M 
[   ]sqliteodbc-0.99991-r0.apk2024-10-25 22:12 88K 
[   ]sqlar-doc-0_git20180107-r1.apk2024-10-25 22:12 3.3K 
[   ]sqlar-0_git20180107-r1.apk2024-10-25 22:12 13K 
[   ]sqawk-doc-0.24.0-r0.apk2024-10-25 22:12 113K 
[   ]sqawk-0.24.0-r0.apk2024-10-25 22:12 14K 
[   ]spvm-thread-doc-0.001-r1.apk2024-10-25 22:12 5.9K 
[   ]spvm-thread-0.001-r1.apk2024-10-25 22:12 9.3K 
[   ]spvm-mime-base64-doc-1.001003-r1.apk2024-10-25 22:12 5.4K 
[   ]spvm-mime-base64-1.001003-r1.apk2024-10-25 22:12 15K 
[   ]spvm-math-doc-1.001-r1.apk2024-10-25 22:12 6.2K 
[   ]spvm-math-1.001-r1.apk2024-10-25 22:12 26K 
[   ]spvm-errno-doc-0.092-r1.apk2024-10-25 22:12 5.9K 
[   ]spvm-errno-0.092-r1.apk2024-10-25 22:12 18K 
[   ]spread-sheet-widget-doc-0.10-r0.apk2024-10-25 22:12 4.6K 
[   ]spread-sheet-widget-dev-0.10-r0.apk2024-10-25 22:12 327K 
[   ]spread-sheet-widget-dbg-0.10-r0.apk2024-10-25 22:12 174K 
[   ]spread-sheet-widget-0.10-r0.apk2024-10-25 22:12 46K 
[   ]spotify-tui-0.25.0-r2.apk2024-10-25 22:12 1.9M 
[   ]spotify-player-0.18.1-r0.apk2024-10-25 22:12 3.4M 
[   ]spnavcfg-1.1-r0.apk2024-10-25 22:12 39K 
[   ]spiritvnc-0.6.5-r0.apk2024-11-03 06:09 49K 
[   ]spiped-1.6.2-r1.apk2024-10-25 22:12 80K 
[   ]spin-doc-6.5.2-r1.apk2024-10-25 22:12 5.9K 
[   ]spin-6.5.2-r1.apk2024-10-25 22:12 324K 
[   ]spike-1.1.0-r0.apk2024-10-25 22:12 1.1M 
[   ]spice-html5-0.3.0-r1.apk2024-10-25 22:12 438K 
[   ]speedtest_exporter-openrc-0.3.2-r10.apk2024-10-25 22:12 1.9K 
[   ]speedtest_exporter-0.3.2-r10.apk2024-10-25 22:12 3.6M 
[   ]speedtest-go-openrc-1.1.5-r10.apk2024-10-25 22:12 1.7K 
[   ]speedtest-go-doc-1.1.5-r10.apk2024-10-25 22:12 4.5K 
[   ]speedtest-go-1.1.5-r10.apk2024-10-25 22:12 5.2M 
[   ]speedtest-examples-5.2.5-r1.apk2024-10-25 22:12 13K 
[   ]speedtest-doc-5.2.5-r1.apk2024-10-25 22:12 18K 
[   ]speedtest-5.2.5-r1.apk2024-10-25 22:12 253K 
[   ]speedcrunch-0.12-r3.apk2024-10-25 22:12 1.2M 
[   ]spark-2.8.3-r1.apk2024-10-25 22:12 29M 
[   ]spacectl-zsh-completion-1.0.0-r1.apk2024-10-25 22:12 1.8K 
[   ]spacectl-fish-completion-1.0.0-r1.apk2024-10-25 22:12 6.1K 
[   ]spacectl-doc-1.0.0-r1.apk2024-10-25 22:12 2.3K 
[   ]spacectl-bash-completion-1.0.0-r1.apk2024-10-25 22:12 2.0K 
[   ]spacectl-1.0.0-r1.apk2024-10-25 22:12 5.0M 
[   ]soundfont-vintage-dreams-waves-doc-2.1-r2.apk2024-10-25 22:12 2.2K 
[   ]soundfont-vintage-dreams-waves-2.1-r2.apk2024-10-25 22:12 91K 
[   ]soundconverter-pyc-4.0.6-r0.apk2024-11-12 16:33 75K 
[   ]soundconverter-lang-4.0.6-r0.apk2024-11-12 16:33 107K 
[   ]soundconverter-doc-4.0.6-r0.apk2024-11-12 16:33 4.5K 
[   ]soundconverter-4.0.6-r0.apk2024-11-12 16:33 165K 
[   ]sos-0.8-r26.apk2024-10-25 22:12 2.5M 
[   ]soqt-doc-1.6.0-r1.apk2024-10-25 22:12 1.7K 
[   ]soqt-dev-1.6.0-r1.apk2024-10-25 22:12 98K 
[   ]soqt-1.6.0-r1.apk2024-10-25 22:12 239K 
[   ]sopwith-doc-2.5.0-r0.apk2024-10-25 22:12 15K 
[   ]sopwith-2.5.0-r0.apk2024-10-25 22:12 48K 
[   ]somebar-doc-1.0.3-r0.apk2024-10-25 22:12 2.4K 
[   ]somebar-1.0.3-r0.apk2024-10-25 22:12 50K 
[   ]solarus-quest-editor-1.7.0-r0.apk2024-10-25 22:12 56M 
[   ]solarus-engine-doc-1.7.0-r0.apk2024-10-25 22:12 3.4K 
[   ]solarus-engine-1.7.0-r0.apk2024-10-25 22:12 2.2M 
[   ]solanum-lang-5.0.0-r0.apk2024-10-25 22:12 40K 
[   ]solanum-5.0.0-r0.apk2024-10-25 22:12 251K 
[   ]soapy-sdr-remote-openrc-0.5.2-r1.apk2024-10-25 22:12 1.7K 
[   ]soapy-sdr-remote-doc-0.5.2-r1.apk2024-10-25 22:12 2.4K 
[   ]soapy-sdr-remote-0.5.2-r1.apk2024-10-25 22:12 214K 
[   ]soapy-hackrf-0.3.4-r2.apk2024-10-25 22:12 31K 
[   ]soapy-bladerf-0.4.1-r0.apk2024-10-25 22:12 45K 
[   ]so-0.4.10-r0.apk2024-10-25 22:12 2.0M 
[   ]snowflake-doc-2.9.2-r4.apk2024-10-25 22:12 2.9K 
[   ]snowflake-2.9.2-r4.apk2024-10-25 22:12 9.8M 
[   ]snore-doc-0.3.1-r0.apk2024-10-25 22:12 3.1K 
[   ]snore-0.3.1-r0.apk2024-10-25 22:12 4.5K 
[   ]sndfile-tools-doc-1.5-r1.apk2024-10-25 22:12 361K 
[   ]sndfile-tools-1.5-r1.apk2024-10-25 22:12 39K 
[   ]snapraid-doc-12.3-r0.apk2024-10-25 22:12 17K 
[   ]snapraid-12.3-r0.apk2024-10-25 22:12 272K 
[   ]snapper-zsh-completion-0.12.0-r0.apk2024-11-18 19:32 3.5K 
[   ]snapper-lang-0.12.0-r0.apk2024-11-18 19:32 180K 
[   ]snapper-doc-0.12.0-r0.apk2024-11-18 19:32 25K 
[   ]snapper-dev-0.12.0-r0.apk2024-11-18 19:32 10K 
[   ]snapper-bash-completion-0.12.0-r0.apk2024-11-18 19:32 3.0K 
[   ]snapper-0.12.0-r0.apk2024-11-18 19:32 1.0M 
[   ]smplxmpp-doc-0.9.3-r2.apk2024-10-25 22:12 25K 
[   ]smplxmpp-0.9.3-r2.apk2024-10-25 22:12 156K 
[   ]smile-lang-2.9.5-r0.apk2024-10-25 22:12 24K 
[   ]smile-2.9.5-r0.apk2024-10-25 22:12 694K 
[   ]smassh-pyc-3.1.6-r0.apk2024-11-21 03:56 70K 
[   ]smassh-3.1.6-r0.apk2024-11-21 03:56 72K 
[   ]slurm-doc-0.4.4-r0.apk2024-10-25 22:12 2.3K 
[   ]slurm-0.4.4-r0.apk2024-10-25 22:12 14K 
[   ]sloccount-doc-2.26-r3.apk2024-10-25 22:12 59K 
[   ]sloccount-2.26-r3.apk2024-10-25 22:12 59K 
[   ]slidge-pyc-0.1.3-r0.apk2024-10-25 22:12 285K 
[   ]slidge-openrc-0.1.3-r0.apk2024-10-25 22:12 2.3K 
[   ]slidge-matridge-pyc-0.1.0-r0.apk2024-10-25 22:12 38K 
[   ]slidge-matridge-openrc-0.1.0-r0.apk2024-10-25 22:12 1.5K 
[   ]slidge-matridge-0.1.0-r0.apk2024-10-25 22:12 30K 
[   ]slidge-doc-0.1.3-r0.apk2024-10-25 22:12 4.5K 
[   ]slidge-0.1.3-r0.apk2024-10-25 22:12 145K 
[   ]sish-openrc-2.16.0-r2.apk2024-10-25 22:12 1.9K 
[   ]sish-2.16.0-r2.apk2024-10-25 22:12 7.7M 
[   ]siril-lang-1.2.4-r1.apk2024-11-18 19:00 1.5M 
[   ]siril-doc-1.2.4-r1.apk2024-11-18 19:00 18K 
[   ]siril-1.2.4-r1.apk2024-11-18 19:00 2.8M 
[   ]sipgrep-2.2.0-r1.apk2024-10-25 22:12 27K 
[   ]sipexer-1.1.0-r8.apk2024-10-25 22:12 2.5M 
[   ]singular-static-4.4.0-r0.apk2024-10-25 22:12 5.4M 
[   ]singular-emacs-4.4.0-r0.apk2024-10-25 22:12 101K 
[   ]singular-doc-4.4.0-r0.apk2024-10-25 22:12 1.3M 
[   ]singular-dev-4.4.0-r0.apk2024-10-25 22:12 371K 
[   ]singular-4.4.0-r0.apk2024-10-25 22:12 10M 
[   ]sing-geosite-20240810010807-r0.apk2024-10-25 22:12 876K 
[   ]sing-geoip-20240912-r0.apk2024-10-25 22:12 3.6M 
[   ]sing-box-zsh-completion-1.10.1-r0.apk2024-10-25 22:12 4.0K 
[   ]sing-box-openrc-1.10.1-r0.apk2024-10-25 22:12 2.0K 
[   ]sing-box-fish-completion-1.10.1-r0.apk2024-10-25 22:12 4.3K 
[   ]sing-box-bash-completion-1.10.1-r0.apk2024-10-25 22:12 5.1K 
[   ]sing-box-1.10.1-r0.apk2024-10-25 22:12 11M 
[   ]simpleble-dev-0.6.1-r1.apk2024-10-25 22:12 21K 
[   ]simpleble-0.6.1-r1.apk2024-10-25 22:12 1.4K 
[   ]simp1e-cursors-solarized-0_git20211003-r0.apk2024-10-25 22:12 717K 
[   ]simp1e-cursors-snow-0_git20211003-r0.apk2024-10-25 22:12 828K 
[   ]simp1e-cursors-dark-0_git20211003-r0.apk2024-10-25 22:12 780K 
[   ]simp1e-cursors-breeze-0_git20211003-r0.apk2024-10-25 22:12 857K 
[   ]simp1e-cursors-0_git20211003-r0.apk2024-10-25 22:12 741K 
[   ]simh-3.11.1-r1.apk2024-10-25 22:12 3.2M 
[   ]simgear-dev-2020.3.19-r1.apk2024-10-25 22:12 394K 
[   ]simgear-2020.3.19-r1.apk2024-10-25 22:12 1.9M 
[   ]silc-client-doc-1.1.11-r17.apk2024-10-25 22:12 83K 
[   ]silc-client-1.1.11-r17.apk2024-10-25 22:12 875K 
[   ]sigrok-firmware-fx2lafw-bin-0.1.7-r0.apk2024-10-25 22:12 13K 
[   ]sigrok-cli-doc-0.7.2-r0.apk2024-10-25 22:12 8.0K 
[   ]sigrok-cli-0.7.2-r0.apk2024-10-25 22:12 43K 
[   ]sigma-pyc-0.23.1-r1.apk2024-10-25 22:12 340K 
[   ]sigma-0.23.1-r1.apk2024-10-25 22:12 237K 
[   ]shutdown-clear-machine-id-1.0.0-r0.apk2024-10-25 22:12 1.8K 
[   ]showtime-lang-47.0-r0.apk2024-11-08 01:20 36K 
[   ]showtime-47.0-r0.apk2024-11-08 01:20 44K 
[   ]shntool-doc-3.0.10-r4.apk2024-10-25 22:12 10K 
[   ]shntool-3.0.10-r4.apk2024-10-25 22:12 63K 
[   ]shipments-0.3.0-r0.apk2024-10-25 22:12 23K 
[   ]shine-3.1.1-r0.apk2024-10-25 22:12 56K 
[   ]shfm-doc-0.4.2-r1.apk2024-10-25 22:12 6.1K 
[   ]shfm-0.4.2-r1.apk2024-10-25 22:12 4.0K 
[   ]shellinabox-openrc-2.21-r3.apk2024-10-25 22:12 3.5K 
[   ]shellinabox-doc-2.21-r3.apk2024-10-25 22:12 19K 
[   ]shellinabox-2.21-r3.apk2024-10-25 22:12 118K 
[   ]shc-4.0.3-r2.apk2024-10-25 22:12 16K 
[   ]sgt-puzzles-0_git20230310-r2.apk2024-10-25 22:12 2.6M 
[   ]sfwbar-doc-1.0_beta16-r0.apk2024-11-09 23:25 26K 
[   ]sfwbar-1.0_beta16-r0.apk2024-11-09 23:25 275K 
[   ]sflowtool-doc-6.02-r0.apk2024-10-25 22:12 9.4K 
[   ]sflowtool-6.02-r0.apk2024-10-25 22:12 41K 
[   ]setroot-doc-2.0.2-r1.apk2024-10-25 22:12 4.4K 
[   ]setroot-2.0.2-r1.apk2024-10-25 22:12 12K 
[   ]serialdv-libs-1.1.4-r0.apk2024-10-25 22:12 65K 
[   ]serialdv-dev-1.1.4-r0.apk2024-10-25 22:12 5.4K 
[   ]serialdv-1.1.4-r0.apk2024-10-25 22:12 7.2K 
[   ]sentinel-proxy-openrc-2.1.0-r0.apk2024-10-25 22:12 2.3K 
[   ]sentinel-proxy-dev-2.1.0-r0.apk2024-10-25 22:12 4.6K 
[   ]sentinel-proxy-2.1.0-r0.apk2024-10-25 22:12 44K 
[   ]sentinel-minipot-openrc-2.3.0-r1.apk2024-10-25 22:12 2.6K 
[   ]sentinel-minipot-2.3.0-r1.apk2024-10-25 22:12 43K 
[   ]seed7-vim-05.20240322-r0.apk2024-10-25 22:12 4.0K 
[   ]seed7-nano-05.20240322-r0.apk2024-10-25 22:12 2.5K 
[   ]seed7-doc-05.20240322-r0.apk2024-10-25 22:12 1.6M 
[   ]seed7-05.20240322-r0.apk2024-10-25 22:12 10M 
[   ]sedutil-doc-1.15.1-r1.apk2024-10-25 22:12 3.1K 
[   ]sedutil-1.15.1-r1.apk2024-10-25 22:12 195K 
[   ]secsipidx-libs-1.3.2-r7.apk2024-10-25 22:12 2.2M 
[   ]secsipidx-dev-1.3.2-r7.apk2024-10-25 22:12 4.5M 
[   ]secsipidx-1.3.2-r7.apk2024-10-25 22:12 2.5M 
[   ]seaweedfs-openrc-3.79-r0.apk2024-11-10 09:09 1.8K 
[   ]seaweedfs-doc-3.79-r0.apk2024-11-10 09:09 14K 
[   ]seaweedfs-3.79-r0.apk2024-11-10 09:09 23M 
[   ]sdparm-doc-1.12-r1.apk2024-10-25 22:12 19K 
[   ]sdparm-1.12-r1.apk2024-10-25 22:12 147K 
[   ]sdl3-doc-3.1.6-r0.apk2024-11-02 21:30 2.1K 
[   ]sdl3-dev-3.1.6-r0.apk2024-11-02 21:30 26M 
[   ]sdl3-dbg-3.1.6-r0.apk2024-11-02 21:30 3.9M 
[   ]sdl3-3.1.6-r0.apk2024-11-02 21:30 810K 
[   ]sct-2018.12.18-r1.apk2024-10-25 22:12 3.8K 
[   ]scrypt-doc-1.3.2-r0.apk2024-10-25 22:12 4.3K 
[   ]scrypt-1.3.2-r0.apk2024-10-25 22:12 32K 
[   ]screenkey-pyc-1.5-r6.apk2024-10-25 22:12 73K 
[   ]screenkey-doc-1.5-r6.apk2024-10-25 22:12 11K 
[   ]screenkey-1.5-r6.apk2024-10-25 22:12 77K 
[   ]scooper-doc-1.3-r1.apk2024-10-25 22:12 2.6K 
[   ]scooper-1.3-r1.apk2024-10-25 22:12 504K 
[   ]schismtracker-doc-20231029-r0.apk2024-10-25 22:12 6.2K 
[   ]schismtracker-20231029-r0.apk2024-10-25 22:12 368K 
[   ]scap-workbench-doc-1.2.1-r3.apk2024-10-25 22:12 1.6M 
[   ]scap-workbench-1.2.1-r3.apk2024-10-25 22:12 249K 
[   ]scalingo-1.30.0-r5.apk2024-10-25 22:12 5.1M 
[   ]sc3-plugins-3.13.0-r1.apk2024-10-25 22:12 10M 
[   ]sc-im-doc-0.8.4-r0.apk2024-10-25 22:12 4.7K 
[   ]sc-im-0.8.4-r0.apk2024-10-25 22:12 162K 
[   ]sc-controller-pyc-0.4.8.13-r1.apk2024-10-25 22:12 873K 
[   ]sc-controller-0.4.8.13-r1.apk2024-10-25 22:12 1.9M 
[   ]sblim-wbemcli-doc-1.6.3-r1.apk2024-10-25 22:12 4.5K 
[   ]sblim-wbemcli-1.6.3-r1.apk2024-10-25 22:12 112K 
[   ]sblim-sfcc-doc-2.2.8-r3.apk2024-10-25 22:12 35K 
[   ]sblim-sfcc-dev-2.2.8-r3.apk2024-10-25 22:12 22K 
[   ]sblim-sfcc-2.2.8-r3.apk2024-10-25 22:12 55K 
[   ]sblg-doc-0.5.11-r0.apk2024-10-25 22:12 1.3M 
[   ]sblg-0.5.11-r0.apk2024-10-25 22:12 47K 
[   ]sbase-doc-0_git20210730-r3.apk2024-10-25 22:12 58K 
[   ]sbase-0_git20210730-r3.apk2024-10-25 22:12 119K 
[   ]sauerbraten-2020.12.29-r3.apk2024-10-25 22:12 934M 
[   ]satellite-openrc-1.0.0-r23.apk2024-10-25 22:12 1.9K 
[   ]satellite-doc-1.0.0-r23.apk2024-10-25 22:12 3.0K 
[   ]satellite-1.0.0-r23.apk2024-10-25 22:12 2.0M 
[   ]sane-airscan-doc-0.99.29-r0.apk2024-10-25 22:12 5.7K 
[   ]sane-airscan-0.99.29-r0.apk2024-10-25 22:12 200K 
[   ]sandbar-0.1-r0.apk2024-10-25 22:12 14K 
[   ]sacc-doc-1.07-r0.apk2024-10-25 22:12 2.9K 
[   ]sacc-1.07-r0.apk2024-10-25 22:12 16K 
[   ]saait-doc-0.8-r0.apk2024-10-25 22:12 13K 
[   ]saait-0.8-r0.apk2024-10-25 22:12 7.2K 
[   ]s5cmd-2.2.2-r5.apk2024-10-25 22:12 4.9M 
[   ]s-postgray-doc-0.8.3-r0.apk2024-10-25 22:12 9.6K 
[   ]s-postgray-0.8.3-r0.apk2024-10-25 22:12 50K 
[   ]s-dkim-sign-doc-0.6.2-r0.apk2024-10-25 22:12 8.5K 
[   ]s-dkim-sign-0.6.2-r0.apk2024-10-25 22:12 61K 
[   ]ry-zsh-completion-0.5.2-r1.apk2024-10-25 22:12 2.3K 
[   ]ry-bash-completion-0.5.2-r1.apk2024-10-25 22:12 2.0K 
[   ]ry-0.5.2-r1.apk2024-10-25 22:12 4.6K 
[   ]rvlprog-0.91-r2.apk2024-10-25 22:12 29K 
[   ]ruuvi-prometheus-openrc-0.1.7-r5.apk2024-10-25 22:12 1.7K 
[   ]ruuvi-prometheus-0.1.7-r5.apk2024-10-25 22:12 3.4M 
[   ]rustscan-2.3.0-r0.apk2024-10-25 22:12 1.3M 
[   ]rustic-zsh-completion-0.9.3-r0.apk2024-10-25 22:12 13K 
[   ]rustic-fish-completion-0.9.3-r0.apk2024-10-25 22:12 17K 
[   ]rustic-bash-completion-0.9.3-r0.apk2024-10-25 22:12 8.6K 
[   ]rustic-0.9.3-r0.apk2024-10-25 22:12 6.0M 
[   ]rustdesk-server-openrc-1.1.10.3-r0.apk2024-10-25 22:12 2.3K 
[   ]rustdesk-server-1.1.10.3-r0.apk2024-10-25 22:12 2.4M 
[   ]rust-script-0.35.0-r0.apk2024-10-27 17:29 920K 
[   ]runst-doc-0.1.7-r0.apk2024-10-25 22:12 7.7K 
[   ]runst-0.1.7-r0.apk2024-10-25 22:12 1.5M 
[   ]ruff-lsp-pyc-0.0.53-r0.apk2024-10-25 22:12 35K 
[   ]ruff-lsp-0.0.53-r0.apk2024-10-25 22:12 21K 
[   ]ruby-yard-doc-0.9.37-r0.apk2024-10-25 22:12 48K 
[   ]ruby-yard-0.9.37-r0.apk2024-10-25 22:12 596K 
[   ]ruby-syslog-0.1.2-r0.apk2024-10-25 22:12 8.4K 
[   ]ruby-simplecov_json_formatter-doc-0.1.4-r0.apk2024-10-25 22:12 2.2K 
[   ]ruby-simplecov_json_formatter-0.1.4-r0.apk2024-10-25 22:12 3.5K 
[   ]ruby-simplecov-html-doc-0.13.1-r0.apk2024-10-25 22:12 2.2K 
[   ]ruby-simplecov-html-0.13.1-r0.apk2024-10-25 22:12 4.4K 
[   ]ruby-simplecov-doc-0.22.0-r0.apk2024-10-25 22:12 4.1K 
[   ]ruby-simplecov-cobertura-2.1.0-r0.apk2024-10-25 22:12 2.3K 
[   ]ruby-simplecov-0.22.0-r0.apk2024-10-25 22:12 31K 
[   ]ruby-rainbow-3.1.1-r0.apk2024-10-25 22:12 8.2K 
[   ]ruby-notify-doc-0.5.2-r0.apk2024-10-25 22:12 2.2K 
[   ]ruby-notify-0.5.2-r0.apk2024-10-25 22:12 6.1K 
[   ]ruby-libguestfs-1.52.0-r1.apk2024-10-25 22:12 105K 
[   ]ruby-docile-doc-1.4.1-r0.apk2024-10-25 22:12 2.2K 
[   ]ruby-docile-1.4.1-r0.apk2024-10-25 22:12 6.0K 
[   ]ruby-build-runtime-20241017-r0.apk2024-10-29 12:45 1.3K 
[   ]ruby-build-doc-20241017-r0.apk2024-10-29 12:45 4.7K 
[   ]ruby-build-20241017-r0.apk2024-10-29 12:45 88K 
[   ]ruby-base64-0.2.0-r0.apk2024-10-25 22:12 5.2K 
[   ]rtw89-src-7_p20230725-r0.apk2024-10-25 22:12 759K 
[   ]rtptools-doc-1.22-r2.apk2024-10-25 22:12 13K 
[   ]rtptools-1.22-r2.apk2024-10-25 22:12 30K 
[   ]rtmidi-dev-6.0.0-r0.apk2024-10-25 22:12 14K 
[   ]rtmidi-6.0.0-r0.apk2024-10-25 22:12 32K 
[   ]rtl8821ce-src-5_git20230504-r0.apk2024-10-25 22:12 4.3M 
[   ]rtl8812au-src-5.6.4.2_git20231103-r0.apk2024-10-25 22:12 2.6M 
[   ]rtl88x2bu-src-5.13.1_git20230711-r0.apk2024-10-25 22:12 4.0M 
[   ]rtl-power-fftw-doc-20200601-r4.apk2024-10-25 22:12 8.2K 
[   ]rtl-power-fftw-20200601-r4.apk2024-10-25 22:12 64K 
[   ]rsstail-doc-2.1-r1.apk2024-10-25 22:12 2.6K 
[   ]rsstail-2.1-r1.apk2024-10-25 22:12 7.6K 
[   ]rss-email-doc-0.5.0-r0.apk2024-10-25 22:12 6.4K 
[   ]rss-email-0.5.0-r0.apk2024-10-25 22:12 2.2M 
[   ]rpi-imager-doc-1.9.0-r0.apk2024-10-25 22:12 3.1K 
[   ]rpi-imager-1.9.0-r0.apk2024-10-25 22:12 718K 
[   ]rpg-cli-1.2.0-r0.apk2024-10-25 22:12 603K 
[   ]roswell-doc-24.10.115-r0.apk2024-10-25 22:12 18K 
[   ]roswell-24.10.115-r0.apk2024-10-25 22:12 109K 
[   ]rosenpass-0.2.2-r0.apk2024-10-25 22:12 938K 
[   ]rosdep-pyc-0.19.0-r6.apk2024-10-25 22:12 119K 
[   ]rosdep-0.19.0-r6.apk2024-10-25 22:12 66K 
[   ]rook-getattr-0.2.0-r0.apk2024-10-25 22:12 2.7K 
[   ]rook-doc-0.2.0-r0.apk2024-10-25 22:12 23K 
[   ]rook-autotype-0.2.0-r0.apk2024-10-25 22:12 3.7K 
[   ]rook-0.2.0-r0.apk2024-10-25 22:12 1.9M 
[   ]rofi-pass-doc-2.0.2-r2.apk2024-10-25 22:12 5.0K 
[   ]rofi-pass-2.0.2-r2.apk2024-10-25 22:12 8.8K 
[   ]rofi-json-menu-0.2.0-r1.apk2024-10-25 22:12 5.4K 
[   ]rofi-blocks-0.1.0-r0.apk2024-10-25 22:12 12K 
[   ]rmlint-shredder-pyc-2.10.2-r2.apk2024-10-25 22:12 124K 
[   ]rmlint-shredder-2.10.2-r2.apk2024-10-25 22:12 96K 
[   ]rmlint-lang-2.10.2-r2.apk2024-10-25 22:12 19K 
[   ]rmlint-doc-2.10.2-r2.apk2024-10-25 22:12 18K 
[   ]rmlint-2.10.2-r2.apk2024-10-25 22:12 156K 
[   ]rlottie-doc-0.2_git20230831-r0.apk2024-10-25 22:12 13K 
[   ]rlottie-dev-0.2_git20230831-r0.apk2024-10-25 22:12 9.4K 
[   ]rlottie-0.2_git20230831-r0.apk2024-10-25 22:12 175K 
[   ]rke-doc-1.4.3-r10.apk2024-10-25 22:12 3.0K 
[   ]rke-1.4.3-r10.apk2024-10-25 22:12 21M 
[   ]rkdeveloptool-doc-1.1.0-r1.apk2024-10-25 22:12 3.0K 
[   ]rkdeveloptool-1.1.0-r1.apk2024-10-25 22:12 58K 
[   ]rizin-libs-0.6.3-r1.apk2024-10-25 22:12 4.5M 
[   ]rizin-doc-0.6.3-r1.apk2024-10-25 22:12 18K 
[   ]rizin-dev-0.6.3-r1.apk2024-10-25 22:12 306K 
[   ]rizin-cutter-dev-2.3.2-r2.apk2024-10-25 22:12 108K 
[   ]rizin-cutter-2.3.2-r2.apk2024-10-25 22:12 2.2M 
[   ]rizin-0.6.3-r1.apk2024-10-25 22:12 2.7M 
[   ]river-shifttags-doc-0.2.1-r0.apk2024-10-25 22:12 2.3K 
[   ]river-shifttags-0.2.1-r0.apk2024-10-25 22:12 5.9K 
[   ]river-luatile-0.1.3-r0.apk2024-10-25 22:12 420K 
[   ]ripdrag-0.4.10-r0.apk2024-10-25 22:12 343K 
[   ]ripasso-cursive-0.6.5-r0.apk2024-10-25 22:12 2.9M 
[   ]rio-terminfo-0.2.1-r0.apk2024-11-18 19:00 3.4K 
[   ]rio-doc-0.2.1-r0.apk2024-11-18 19:00 2.2K 
[   ]rio-0.2.1-r0.apk2024-11-18 19:00 9.5M 
[   ]rinetd-openrc-0.73-r0.apk2024-10-25 22:12 1.7K 
[   ]rinetd-doc-0.73-r0.apk2024-10-25 22:12 16K 
[   ]rinetd-0.73-r0.apk2024-10-25 22:12 14K 
[   ]rime-ls-0.4.0-r0.apk2024-10-25 22:12 1.1M 
[   ]riemann-cli-0.8.0-r2.apk2024-10-25 22:12 532K 
[   ]ri-li-2.0.1-r1.apk2024-10-25 22:12 18M 
[   ]rhasspy-nlu-pyc-0.4.0-r3.apk2024-10-25 22:12 73K 
[   ]rhasspy-nlu-0.4.0-r3.apk2024-10-25 22:12 44K 
[   ]rgxg-doc-0.1.2-r2.apk2024-10-25 22:12 12K 
[   ]rgxg-dev-0.1.2-r2.apk2024-10-25 22:12 3.5K 
[   ]rgxg-0.1.2-r2.apk2024-10-25 22:12 15K 
[   ]rezolus-openrc-2.11.1-r3.apk2024-10-25 22:12 2.1K 
[   ]rezolus-doc-2.11.1-r3.apk2024-10-25 22:12 3.4K 
[   ]rezolus-2.11.1-r3.apk2024-10-25 22:12 883K 
[   ]restinio-dev-0.6.19-r0.apk2024-10-25 22:12 273K 
[   ]restinio-0.6.19-r0.apk2024-10-25 22:12 1.5K 
[   ]restic.mk-0.4.0-r0.apk2024-10-25 22:12 2.9K 
[   ]restart-services-doc-0.17.0-r0.apk2024-10-25 22:12 5.9K 
[   ]restart-services-0.17.0-r0.apk2024-10-25 22:12 12K 
[   ]responder-3.1.5.0-r0.apk2024-10-25 22:12 750K 
[   ]resources-lang-1.6.0-r1.apk2024-10-25 22:12 92K 
[   ]resources-1.6.0-r1.apk2024-10-25 22:12 2.2M 
[   ]reredirect-doc-0.3-r0.apk2024-10-25 22:12 2.8K 
[   ]reredirect-0.3-r0.apk2024-10-25 22:12 9.0K 
[   ]reprotest-pyc-0.7.28-r0.apk2024-11-02 17:58 103K 
[   ]reprotest-0.7.28-r0.apk2024-11-02 17:58 80K 
[   ]repowerd-openrc-2023.07-r2.apk2024-10-25 22:12 1.7K 
[   ]repowerd-2023.07-r2.apk2024-10-25 22:12 924K 
[   ]repo-doc-2.49.3-r0.apk2024-11-09 23:25 38K 
[   ]repo-2.49.3-r0.apk2024-11-09 23:25 17K 
[   ]repgrep-zsh-completion-0.15.0-r0.apk2024-10-25 22:12 1.6K 
[   ]repgrep-fish-completion-0.15.0-r0.apk2024-10-25 22:12 4.1K 
[   ]repgrep-doc-0.15.0-r0.apk2024-10-25 22:12 6.5K 
[   ]repgrep-bash-completion-0.15.0-r0.apk2024-10-25 22:12 1.6K 
[   ]repgrep-0.15.0-r0.apk2024-10-25 22:12 1.2M 
[   ]remind-caldav-pyc-0.8.0-r4.apk2024-10-25 22:12 6.1K 
[   ]remind-caldav-0.8.0-r4.apk2024-10-25 22:12 18K 
[   ]remake-make-1.5-r1.apk2024-10-25 22:12 1.5K 
[   ]remake-doc-1.5-r1.apk2024-10-25 22:12 202K 
[   ]remake-dev-1.5-r1.apk2024-10-25 22:12 2.9K 
[   ]remake-1.5-r1.apk2024-10-25 22:12 147K 
[   ]regclient-0.7.1-r0.apk2024-10-25 22:12 13M 
[   ]regal-zsh-completion-0.28.0-r0.apk2024-11-03 00:14 4.0K 
[   ]regal-fish-completion-0.28.0-r0.apk2024-11-03 00:14 4.3K 
[   ]regal-bash-completion-0.28.0-r0.apk2024-11-03 00:14 5.0K 
[   ]regal-0.28.0-r0.apk2024-11-03 00:14 10M 
[   ]reg-0.16.1-r23.apk2024-10-25 22:12 4.3M 
[   ]recoll-doc-1.37.5-r1.apk2024-10-25 22:12 21K 
[   ]recoll-dev-1.37.5-r1.apk2024-10-25 22:12 53K 
[   ]recoll-1.37.5-r1.apk2024-10-25 22:12 2.9M 
[   ]reaver-wps-fork-t6x-1.6.6-r1.apk2024-10-25 22:12 419K 
[   ]reason-rtop-3.8.2-r1.apk2024-10-25 22:12 24M 
[   ]reason-3.8.2-r1.apk2024-10-25 22:12 17M 
[   ]readosm-dev-1.1.0-r2.apk2024-10-25 22:12 21K 
[   ]readosm-1.1.0-r2.apk2024-10-25 22:12 16K 
[   ]reaction-tools-1.4.1-r1.apk2024-10-25 22:12 19K 
[   ]reaction-openrc-1.4.1-r1.apk2024-10-25 22:12 1.9K 
[   ]reaction-1.4.1-r1.apk2024-10-25 22:12 2.0M 
[   ]rdrview-doc-0.1.2-r0.apk2024-10-25 22:12 3.7K 
[   ]rdrview-0.1.2-r0.apk2024-10-25 22:12 31K 
[   ]rdedup-3.2.1-r5.apk2024-10-25 22:12 831K 
[   ]rcon-cli-1.6.2-r6.apk2024-10-25 22:12 2.4M 
[   ]rclone-browser-1.8.0-r1.apk2024-10-25 22:12 349K 
[   ]razercfg-pyc-0.42-r7.apk2024-10-25 22:12 36K 
[   ]razercfg-openrc-0.42-r7.apk2024-10-25 22:12 1.7K 
[   ]razercfg-gui-0.42-r7.apk2024-10-25 22:12 19K 
[   ]razercfg-0.42-r7.apk2024-10-25 22:12 84K 
[   ]rauc-service-1.10.1-r0.apk2024-10-25 22:12 3.7K 
[   ]rauc-doc-1.10.1-r0.apk2024-10-25 22:12 4.2K 
[   ]rauc-1.10.1-r0.apk2024-10-25 22:12 146K 
[   ]rattler-build-zsh-completion-0.18.0-r0.apk2024-10-25 22:12 5.4K 
[   ]rattler-build-fish-completion-0.18.0-r0.apk2024-10-25 22:12 4.7K 
[   ]rattler-build-doc-0.18.0-r0.apk2024-10-25 22:12 6.7K 
[   ]rattler-build-bash-completion-0.18.0-r0.apk2024-10-25 22:12 3.6K 
[   ]rattler-build-0.18.0-r0.apk2024-10-25 22:12 5.7M 
[   ]rathole-0.5.0-r0.apk2024-10-25 22:12 1.4M 
[   ]raspberrypi-usbboot-20210701-r3.apk2024-10-25 22:12 1.5M 
[   ]rapidfuzz-3.0.0-r0.apk2024-10-25 22:12 63K 
[   ]rankwidth-static-0.9-r3.apk2024-10-25 22:12 4.8K 
[   ]rankwidth-libs-0.9-r3.apk2024-10-25 22:12 5.2K 
[   ]rankwidth-doc-0.9-r3.apk2024-10-25 22:12 3.0K 
[   ]rankwidth-dev-0.9-r3.apk2024-10-25 22:12 2.9K 
[   ]rankwidth-0.9-r3.apk2024-10-25 22:12 5.6K 
[   ]randrctl-pyc-1.10.0-r0.apk2024-11-18 19:00 31K 
[   ]randrctl-1.10.0-r0.apk2024-11-18 19:00 28K 
[   ]racksdb-pyc-0.4.0-r0.apk2024-10-25 22:12 89K 
[   ]racksdb-doc-0.4.0-r0.apk2024-10-25 22:12 18K 
[   ]racksdb-0.4.0-r0.apk2024-10-25 22:12 59K 
[   ]quodlibet-zsh-completion-4.6.0-r1.apk2024-10-25 22:12 2.7K 
[   ]quodlibet-pyc-4.6.0-r1.apk2024-10-25 22:12 1.8M 
[   ]quodlibet-lang-4.6.0-r1.apk2024-10-25 22:12 1.2M 
[   ]quodlibet-doc-4.6.0-r1.apk2024-10-25 22:12 8.7K 
[   ]quodlibet-bash-completion-4.6.0-r1.apk2024-10-25 22:12 4.7K 
[   ]quodlibet-4.6.0-r1.apk2024-10-25 22:12 1.0M 
[   ]queercat-1.0.0-r0.apk2024-10-25 22:12 8.2K 
[   ]qucs-s-lang-1.1.0-r1.apk2024-10-25 22:12 865K 
[   ]qucs-s-doc-1.1.0-r1.apk2024-10-25 22:12 2.4K 
[   ]qucs-s-1.1.0-r1.apk2024-10-25 22:12 3.6M 
[   ]quakespasm-0.96.3-r0.apk2024-10-25 22:12 494K 
[   ]qtpass-doc-1.4.0-r0.apk2024-10-25 22:12 2.1K 
[   ]qtpass-1.4.0-r0.apk2024-10-25 22:12 438K 
[   ]qtox-1.17.6-r6.apk2024-10-25 22:12 5.1M 
[   ]qtmir-dev-0.7.2-r2.apk2024-10-25 22:12 6.9K 
[   ]qtmir-0.7.2-r2.apk2024-10-25 22:12 559K 
[   ]qtile-pyc-0.23.0-r2.apk2024-10-25 22:12 724K 
[   ]qtile-0.23.0-r2.apk2024-10-25 22:12 382K 
[   ]qt6ct-0.9-r2.apk2024-11-18 20:02 203K 
[   ]qt5ct-dev-1.8-r0.apk2024-10-25 22:12 1.5K 
[   ]qt5ct-1.8-r0.apk2024-10-25 22:12 241K 
[   ]qt-wayland-shell-helpers-dev-0.1.1-r3.apk2024-10-25 22:12 3.9K 
[   ]qt-wayland-shell-helpers-0.1.1-r3.apk2024-10-25 22:12 13K 
[   ]qt-jdenticon-doc-0.3.1-r0.apk2024-10-25 22:12 2.2K 
[   ]qt-jdenticon-0.3.1-r0.apk2024-10-25 22:12 29K 
[   ]qsynth-doc-1.0.2-r0.apk2024-10-25 22:12 4.4K 
[   ]qsynth-1.0.2-r0.apk2024-10-25 22:12 460K 
[   ]qstardict-doc-2.0.2-r0.apk2024-10-25 22:12 11K 
[   ]qstardict-2.0.2-r0.apk2024-10-25 22:12 466K 
[   ]qsstv-9.5.8-r2.apk2024-10-25 22:12 1.0M 
[   ]qqc2-suru-style-0.20230206-r1.apk2024-10-25 22:12 175K 
[   ]qperf-doc-0.4.11-r1.apk2024-10-25 22:12 5.5K 
[   ]qperf-0.4.11-r1.apk2024-10-25 22:12 35K 
[   ]qpdfview-doc-0.5-r1.apk2024-10-25 22:12 4.3K 
[   ]qpdfview-0.5-r1.apk2024-10-25 22:12 1.0M 
[   ]qownnotes-lang-23.6.6-r0.apk2024-10-25 22:12 4.4M 
[   ]qownnotes-23.6.6-r0.apk2024-10-25 22:12 2.5M 
[   ]qoiconv-0.0.0_git20230312-r0.apk2024-10-25 22:12 30K 
[   ]qoi-dev-0.0.0_git20230312-r0.apk2024-10-25 22:12 6.8K 
[   ]qoi-0.0.0_git20230312-r0.apk2024-10-25 22:12 1.5K 
[   ]qml-box2d-0_git20180406-r0.apk2024-10-25 22:12 147K 
[   ]qmk-cli-pyc-1.1.6-r0.apk2024-11-01 00:17 20K 
[   ]qmk-cli-1.1.6-r0.apk2024-11-01 00:17 14K 
[   ]qgis-server-3.34.12-r2.apk2024-11-09 21:46 1.7M 
[   ]qgis-lang-3.34.12-r2.apk2024-11-09 21:46 31M 
[   ]qgis-grass-3.34.12-r2.apk2024-11-09 21:46 1.4M 
[   ]qgis-doc-3.34.12-r2.apk2024-11-09 21:46 3.0K 
[   ]qgis-dev-3.34.12-r2.apk2024-11-09 21:46 3.3M 
[   ]qgis-3.34.12-r2.apk2024-11-09 21:46 47M 
[   ]qflipper-gui-1.3.3-r1.apk2024-10-25 22:12 1.1M 
[   ]qflipper-1.3.3-r1.apk2024-10-25 22:12 527K 
[   ]qdjango-dev-0.6.2-r1.apk2024-10-25 22:12 14K 
[   ]qdjango-0.6.2-r1.apk2024-10-25 22:12 112K 
[   ]qbittorrent-cli-2.0.0-r6.apk2024-10-25 22:12 5.2M 
[   ]pyradio-pyc-0.9.3.11-r0.apk2024-10-25 22:12 810K 
[   ]pyradio-doc-0.9.3.11-r0.apk2024-10-25 22:12 113K 
[   ]pyradio-0.9.3.11-r0.apk2024-10-25 22:12 871K 
[   ]pypykatz-pyc-0.6.10-r0.apk2024-10-25 22:12 708K 
[   ]pypykatz-0.6.10-r0.apk2024-10-25 22:12 318K 
[   ]pypy3-tkinter-7.3.12-r0.apk2024-10-25 22:12 301K 
[   ]pypy3-tests-7.3.12-r0.apk2024-10-25 22:12 13M 
[   ]pypy3-pyc-7.3.12-r0.apk2024-10-25 22:12 5.9M 
[   ]pypy3-dev-7.3.12-r0.apk2024-10-25 22:12 565K 
[   ]pypy3-7.3.12-r0.apk2024-10-25 22:12 15M 
[   ]pypy-tkinter-7.3.12-r0.apk2024-10-25 22:12 453K 
[   ]pypy-dev-7.3.12-r0.apk2024-10-25 22:12 78K 
[   ]pypy-bootstrap-7.3.12-r0.apk2024-10-25 22:12 16M 
[   ]pypy-7.3.12-r0.apk2024-10-25 22:12 15M 
[   ]pyonji-0.1.0-r0.apk2024-11-21 04:04 2.8M 
[   ]pympress-pyc-1.8.5-r1.apk2024-10-25 22:12 182K 
[   ]pympress-lang-1.8.5-r1.apk2024-10-25 22:12 56K 
[   ]pympress-doc-1.8.5-r1.apk2024-10-25 22:12 348K 
[   ]pympress-1.8.5-r1.apk2024-10-25 22:12 181K 
[   ]pyinfra-pyc-3.1.1-r0.apk2024-10-25 22:12 330K 
[   ]pyinfra-3.1.1-r0.apk2024-10-25 22:12 176K 
[   ]py3-zope-schema-pyc-7.0.1-r3.apk2024-10-25 22:12 61K 
[   ]py3-zope-schema-7.0.1-r3.apk2024-10-25 22:12 45K 
[   ]py3-zope-i18nmessageid-pyc-6.1.0-r2.apk2024-10-25 22:12 8.0K 
[   ]py3-zope-i18nmessageid-6.1.0-r2.apk2024-10-25 22:12 16K 
[   ]py3-zope-configuration-pyc-5.0.1-r2.apk2024-10-25 22:12 49K 
[   ]py3-zope-configuration-5.0.1-r2.apk2024-10-25 22:12 39K 
[   ]py3-zipfile2-pyc-0.0.12-r0.apk2024-10-25 22:12 29K 
[   ]py3-zipfile2-0.0.12-r0.apk2024-10-25 22:12 45K 
[   ]py3-zimscraperlib-pyc-3.4.0-r0.apk2024-11-06 10:41 68K 
[   ]py3-zimscraperlib-3.4.0-r0.apk2024-11-06 10:41 52K 
[   ]py3-youtube-search-pyc-1.6.6-r4.apk2024-10-25 22:12 96K 
[   ]py3-youtube-search-1.6.6-r4.apk2024-10-25 22:12 79K 
[   ]py3-yosys-0.42-r0.apk2024-10-25 22:12 1.8K 
[   ]py3-yara-4.5.1-r0.apk2024-10-25 22:12 18K 
[   ]py3-yapsy-pyc-1.12.2-r7.apk2024-10-25 22:12 47K 
[   ]py3-yapsy-1.12.2-r7.apk2024-10-25 22:12 32K 
[   ]py3-xsdata-pyc-24.11-r0.apk2024-11-03 22:02 390K 
[   ]py3-xsdata-24.11-r0.apk2024-11-03 22:02 180K 
[   ]py3-xlwt-pyc-1.3.0-r9.apk2024-10-25 22:12 166K 
[   ]py3-xlwt-1.3.0-r9.apk2024-10-25 22:12 95K 
[   ]py3-xdoctest-1.2.0-r0.apk2024-11-21 14:32 312K 
[   ]py3-xapp-2.4.2-r0.apk2024-11-12 12:29 34K 
[   ]py3-x-wr-timezone-pyc-1.0.1-r0.apk2024-10-25 22:12 6.6K 
[   ]py3-x-wr-timezone-1.0.1-r0.apk2024-10-25 22:12 11K 
[   ]py3-wtf-peewee-pyc-3.0.6-r0.apk2024-10-25 22:12 25K 
[   ]py3-wtf-peewee-3.0.6-r0.apk2024-10-25 22:12 13K 
[   ]py3-wstools-pyc-0.4.10-r7.apk2024-10-25 22:12 111K 
[   ]py3-wstools-0.4.10-r7.apk2024-10-25 22:12 53K 
[   ]py3-wsgiprox-pyc-1.5.2-r1.apk2024-10-25 22:12 28K 
[   ]py3-wsgiprox-1.5.2-r1.apk2024-10-25 22:12 17K 
[   ]py3-winacl-pyc-0.1.9-r0.apk2024-10-25 22:12 132K 
[   ]py3-winacl-0.1.9-r0.apk2024-10-25 22:12 84K 
[   ]py3-wifi-pyc-0.3.8-r7.apk2024-10-25 22:12 14K 
[   ]py3-wifi-0.3.8-r7.apk2024-10-25 22:12 13K 
[   ]py3-wgconfig-pyc-1.0.3-r0.apk2024-10-25 22:12 12K 
[   ]py3-wgconfig-1.0.3-r0.apk2024-10-25 22:12 23K 
[   ]py3-wg-netns-pyc-2.3.1-r1.apk2024-10-25 22:12 13K 
[   ]py3-wg-netns-2.3.1-r1.apk2024-10-25 22:12 7.6K 
[   ]py3-wbdata-pyc-1.0.0-r1.apk2024-10-25 22:12 20K 
[   ]py3-wbdata-1.0.0-r1.apk2024-10-25 22:12 18K 
[   ]py3-ward-pyc-0.67.0_beta0-r2.apk2024-10-25 22:12 80K 
[   ]py3-ward-0.67.0_beta0-r2.apk2024-10-25 22:12 41K 
[   ]py3-visitor-pyc-0.1.3-r7.apk2024-10-25 22:12 2.6K 
[   ]py3-visitor-0.1.3-r7.apk2024-10-25 22:12 4.6K 
[   ]py3-virtualenvwrapper-pyc-6.1.0-r1.apk2024-10-25 22:12 12K 
[   ]py3-virtualenvwrapper-6.1.0-r1.apk2024-10-25 22:12 22K 
[   ]py3-venusian-pyc-3.1.0-r2.apk2024-10-25 22:12 13K 
[   ]py3-venusian-3.1.0-r2.apk2024-10-25 22:12 15K 
[   ]py3-vdf-pyc-3.4-r1.apk2024-10-25 22:12 17K 
[   ]py3-vdf-3.4-r1.apk2024-10-25 22:12 11K 
[   ]py3-vatnumber-pyc-1.2-r9.apk2024-10-25 22:12 8.6K 
[   ]py3-vatnumber-1.2-r9.apk2024-10-25 22:12 19K 
[   ]py3-utc-pyc-0.0.3-r9.apk2024-10-25 22:12 2.8K 
[   ]py3-utc-0.0.3-r9.apk2024-10-25 22:12 3.5K 
[   ]py3-us-pyc-3.2.0-r0.apk2024-10-25 22:12 15K 
[   ]py3-us-3.2.0-r0.apk2024-10-25 22:12 14K 
[   ]py3-urlobject-pyc-2.4.3-r9.apk2024-10-25 22:12 25K 
[   ]py3-urlobject-2.4.3-r9.apk2024-10-25 22:12 15K 
[   ]py3-uptime-pyc-3.0.1-r9.apk2024-10-25 22:12 8.7K 
[   ]py3-uptime-3.0.1-r9.apk2024-10-25 22:12 9.9K 
[   ]py3-unoconv-0.9.0-r2.apk2024-10-25 22:12 26K 
[   ]py3-unidns-pyc-0.0.1-r2.apk2024-10-25 22:12 22K 
[   ]py3-unidns-examples-0.0.1-r2.apk2024-10-25 22:12 2.7K 
[   ]py3-unidns-0.0.1-r2.apk2024-10-25 22:12 14K 
[   ]py3-unicrypto-pyc-0.0.10-r2.apk2024-10-25 22:12 94K 
[   ]py3-unicrypto-0.0.10-r2.apk2024-10-25 22:12 61K 
[   ]py3-unicorn-pyc-2.0.1-r4.apk2024-10-25 22:12 57K 
[   ]py3-unicorn-2.0.1-r4.apk2024-10-25 22:12 34K 
[   ]py3-unearth-pyc-0.17.2-r0.apk2024-10-25 22:12 82K 
[   ]py3-unearth-0.17.2-r0.apk2024-10-25 22:12 41K 
[   ]py3-uc-micro-py-1.0.2-r1.apk2024-10-25 22:12 9.1K 
[   ]py3-uacme-desec-pyc-1.2.1-r0.apk2024-10-25 22:12 6.8K 
[   ]py3-uacme-desec-doc-1.2.1-r0.apk2024-10-25 22:12 2.2K 
[   ]py3-uacme-desec-1.2.1-r0.apk2024-10-25 22:12 5.7K 
[   ]py3-u-msgpack-pyc-2.8.0-r2.apk2024-10-25 22:12 16K 
[   ]py3-u-msgpack-2.8.0-r2.apk2024-10-25 22:12 11K 
[   ]py3-typing_inspect-pyc-0.9.0-r2.apk2024-10-25 22:12 14K 
[   ]py3-typing_inspect-0.9.0-r2.apk2024-10-25 22:12 9.9K 
[   ]py3-twiggy-pyc-0.5.1-r4.apk2024-10-25 22:12 39K 
[   ]py3-twiggy-0.5.1-r4.apk2024-10-25 22:12 24K 
[   ]py3-truststore-pyc-0.10.0-r0.apk2024-10-25 22:12 25K 
[   ]py3-truststore-0.10.0-r0.apk2024-10-25 22:12 17K 
[   ]py3-trivup-pyc-0.12.2-r2.apk2024-10-25 22:12 55K 
[   ]py3-trivup-0.12.2-r2.apk2024-10-25 22:12 34K 
[   ]py3-translationstring-pyc-1.4-r4.apk2024-10-25 22:12 8.8K 
[   ]py3-translationstring-1.4-r4.apk2024-10-25 22:12 9.2K 
[   ]py3-transitions-pyc-0.9.2-r0.apk2024-10-25 22:12 129K 
[   ]py3-transitions-0.9.2-r0.apk2024-10-25 22:12 98K 
[   ]py3-tpm2-pytss-pyc-2.3.0-r1.apk2024-10-25 22:12 236K 
[   ]py3-tpm2-pytss-2.3.0-r1.apk2024-10-25 22:12 273K 
[   ]py3-tokenizers-pyc-0.20.3-r0.apk2024-11-06 01:45 29K 
[   ]py3-tokenizers-0.20.3-r0.apk2024-11-06 01:45 1.6M 
[   ]py3-tlslite-ng-pyc-0.7.6-r8.apk2024-10-25 22:12 275K 
[   ]py3-tlslite-ng-0.7.6-r8.apk2024-10-25 22:12 179K 
[   ]py3-tls_parser-pyc-2.0.1-r1.apk2024-10-25 22:12 17K 
[   ]py3-tls_parser-2.0.1-r1.apk2024-10-25 22:12 9.2K 
[   ]py3-timeago-pyc-1.0.16-r0.apk2024-10-25 22:12 28K 
[   ]py3-timeago-doc-1.0.16-r0.apk2024-10-25 22:12 2.8K 
[   ]py3-timeago-1.0.16-r0.apk2024-10-25 22:12 24K 
[   ]py3-tidalapi-pyc-0.7.4-r1.apk2024-10-25 22:12 62K 
[   ]py3-tidalapi-0.7.4-r1.apk2024-10-25 22:12 36K 
[   ]py3-ticket-auth-pyc-0.1.4-r9.apk2024-10-25 22:12 6.4K 
[   ]py3-ticket-auth-0.1.4-r9.apk2024-10-25 22:12 6.0K 
[   ]py3-thefuzz-pyc-0.22.1-r1.apk2024-10-25 22:12 8.9K 
[   ]py3-thefuzz-0.22.1-r1.apk2024-10-25 22:12 10K 
[   ]py3-tg-pyc-0.19.0-r5.apk2024-10-25 22:12 81K 
[   ]py3-tg-0.19.0-r5.apk2024-10-25 22:12 73K 
[   ]py3-textual-pyc-0.85.2-r0.apk2024-11-18 19:00 1.0M 
[   ]py3-textual-0.85.2-r0.apk2024-11-18 19:00 532K 
[   ]py3-testresources-pyc-2.0.1-r6.apk2024-10-25 22:12 16K 
[   ]py3-testresources-2.0.1-r6.apk2024-10-25 22:12 17K 
[   ]py3-tenant-schemas-celery-pyc-3.0.0-r0.apk2024-10-25 22:12 24K 
[   ]py3-tenant-schemas-celery-3.0.0-r0.apk2024-10-25 22:12 16K 
[   ]py3-teletype-pyc-1.3.4-r3.apk2024-10-25 22:12 21K 
[   ]py3-teletype-1.3.4-r3.apk2024-10-25 22:12 15K 
[   ]py3-telemetrix-pyc-1.20-r3.apk2024-10-25 22:12 31K 
[   ]py3-telemetrix-1.20-r3.apk2024-10-25 22:12 21K 
[   ]py3-telegram-text-pyc-0.2.0-r1.apk2024-10-25 22:12 13K 
[   ]py3-telegram-text-0.2.0-r1.apk2024-10-25 22:12 9.2K 
[   ]py3-telegram-pyc-0.18.0-r3.apk2024-10-25 22:12 21K 
[   ]py3-telegram-bot-pyc-21.6-r0.apk2024-10-25 22:12 714K 
[   ]py3-telegram-bot-21.6-r0.apk2024-10-25 22:12 453K 
[   ]py3-telegram-0.18.0-r3.apk2024-10-25 22:12 14K 
[   ]py3-tasklib-pyc-2.5.1-r2.apk2024-10-25 22:12 52K 
[   ]py3-tasklib-2.5.1-r2.apk2024-10-25 22:12 23K 
[   ]py3-tailer-pyc-0.4.1-r7.apk2024-10-25 22:12 6.6K 
[   ]py3-tailer-0.4.1-r7.apk2024-10-25 22:12 6.9K 
[   ]py3-synapse-auto-accept-invite-pyc-1.2.0-r0.apk2024-10-25 22:12 5.6K 
[   ]py3-synapse-auto-accept-invite-1.2.0-r0.apk2024-10-25 22:12 9.8K 
[   ]py3-swagger-ui-bundle-pyc-1.1.0-r1.apk2024-10-25 22:12 2.1K 
[   ]py3-swagger-ui-bundle-1.1.0-r1.apk2024-10-25 22:12 2.5M 
[   ]py3-svgpath-pyc-6.3-r3.apk2024-10-25 22:12 22K 
[   ]py3-svgpath-6.3-r3.apk2024-10-25 22:12 17K 
[   ]py3-stringcase-pyc-1.2.0-r8.apk2024-10-25 22:12 3.9K 
[   ]py3-stringcase-1.2.0-r8.apk2024-10-25 22:12 4.8K 
[   ]py3-sstash-pyc-0.17-r9.apk2024-10-25 22:12 10K 
[   ]py3-sstash-0.17-r9.apk2024-10-25 22:12 7.7K 
[   ]py3-spotipy-pyc-2.24.0-r1.apk2024-10-25 22:12 50K 
[   ]py3-spotipy-2.24.0-r1.apk2024-10-25 22:12 30K 
[   ]py3-spnego-pyc-0.10.2-r1.apk2024-10-25 22:12 219K 
[   ]py3-spnego-0.10.2-r1.apk2024-10-25 22:12 119K 
[   ]py3-spinners-pyc-0.0.24-r5.apk2024-10-25 22:12 6.2K 
[   ]py3-spinners-0.0.24-r5.apk2024-10-25 22:12 6.1K 
[   ]py3-spin-pyc-0.8-r0.apk2024-10-25 22:12 24K 
[   ]py3-spin-0.8-r0.apk2024-10-25 22:12 19K 
[   ]py3-spidev-3.6-r1.apk2024-10-25 22:12 14K 
[   ]py3-sphobjinv-pyc-2.3.1.1-r0.apk2024-10-25 22:12 52K 
[   ]py3-sphobjinv-2.3.1.1-r0.apk2024-10-25 22:12 40K 
[   ]py3-sphinxcontrib-textstyle-pyc-0.2.3-r8.apk2024-10-25 22:12 5.7K 
[   ]py3-sphinxcontrib-textstyle-0.2.3-r8.apk2024-10-25 22:12 6.1K 
[   ]py3-sphinxcontrib-sqltable-pyc-2.0.0-r8.apk2024-10-25 22:12 4.9K 
[   ]py3-sphinxcontrib-sqltable-2.0.0-r8.apk2024-10-25 22:12 7.3K 
[   ]py3-sphinxcontrib-spelling-pyc-8.0.0-r3.apk2024-10-25 22:12 19K 
[   ]py3-sphinxcontrib-spelling-8.0.0-r3.apk2024-10-25 22:12 15K 
[   ]py3-sphinxcontrib-slide-pyc-1.0.0-r3.apk2024-10-25 22:12 5.5K 
[   ]py3-sphinxcontrib-slide-1.0.0-r3.apk2024-10-25 22:12 5.0K 
[   ]py3-sphinxcontrib-seqdiag-pyc-3.0.0-r5.apk2024-10-25 22:12 9.3K 
[   ]py3-sphinxcontrib-seqdiag-3.0.0-r5.apk2024-10-25 22:12 7.6K 
[   ]py3-sphinxcontrib-restbuilder-pyc-0.3-r6.apk2024-10-25 22:12 20K 
[   ]py3-sphinxcontrib-restbuilder-0.3-r6.apk2024-10-25 22:12 11K 
[   ]py3-sphinxcontrib-programoutput-pyc-0.17-r5.apk2024-10-25 22:12 24K 
[   ]py3-sphinxcontrib-programoutput-0.17-r5.apk2024-10-25 22:12 16K 
[   ]py3-sphinxcontrib-phpdomain-pyc-0.12.0-r0.apk2024-10-25 22:12 17K 
[   ]py3-sphinxcontrib-phpdomain-0.12.0-r0.apk2024-10-25 22:12 11K 
[   ]py3-sphinxcontrib-nwdiag-pyc-2.0.0-r7.apk2024-10-25 22:12 11K 
[   ]py3-sphinxcontrib-nwdiag-2.0.0-r7.apk2024-10-25 22:12 8.7K 
[   ]py3-sphinxcontrib-manpage-pyc-0.6-r8.apk2024-10-25 22:12 3.1K 
[   ]py3-sphinxcontrib-manpage-0.6-r8.apk2024-10-25 22:12 4.1K 
[   ]py3-sphinxcontrib-lassodomain-pyc-0.4-r8.apk2024-10-25 22:12 11K 
[   ]py3-sphinxcontrib-lassodomain-0.4-r8.apk2024-10-25 22:12 7.9K 
[   ]py3-sphinxcontrib-issuetracker-pyc-0.11-r7.apk2024-10-25 22:12 12K 
[   ]py3-sphinxcontrib-issuetracker-0.11-r7.apk2024-10-25 22:12 11K 
[   ]py3-sphinxcontrib-inheritance-pyc-0.9.0-r9.apk2024-10-25 22:12 15K 
[   ]py3-sphinxcontrib-inheritance-0.9.0-r9.apk2024-10-25 22:12 11K 
[   ]py3-sphinxcontrib-hydomain-pyc-0.1.0_git20230930-r1.apk2024-10-25 22:12 44K 
[   ]py3-sphinxcontrib-hydomain-0.1.0_git20230930-r1.apk2024-10-25 22:12 21K 
[   ]py3-sphinxcontrib-httpdomain-pyc-1.8.1-r3.apk2024-10-25 22:12 34K 
[   ]py3-sphinxcontrib-httpdomain-lang-1.8.1-r3.apk2024-10-25 22:12 4.3K 
[   ]py3-sphinxcontrib-httpdomain-1.8.1-r3.apk2024-10-25 22:12 18K 
[   ]py3-sphinxcontrib-htsql-pyc-0.1.5-r8.apk2024-10-25 22:12 15K 
[   ]py3-sphinxcontrib-htsql-0.1.5-r8.apk2024-10-25 22:12 11K 
[   ]py3-sphinxcontrib-gravatar-pyc-0.1.2-r8.apk2024-10-25 22:12 7.6K 
[   ]py3-sphinxcontrib-gravatar-0.1.2-r8.apk2024-10-25 22:12 7.9K 
[   ]py3-sphinxcontrib-git-pyc-11.0.0-r7.apk2024-10-25 22:12 6.6K 
[   ]py3-sphinxcontrib-git-11.0.0-r7.apk2024-10-25 22:12 17K 
[   ]py3-sphinxcontrib-gist-pyc-0.1.0-r9.apk2024-10-25 22:12 3.3K 
[   ]py3-sphinxcontrib-gist-0.1.0-r9.apk2024-10-25 22:12 3.9K 
[   ]py3-sphinxcontrib-fulltoc-pyc-1.2.0-r8.apk2024-10-25 22:12 3.5K 
[   ]py3-sphinxcontrib-fulltoc-1.2.0-r8.apk2024-10-25 22:12 9.1K 
[   ]py3-sphinxcontrib-doxylink-pyc-1.12.2-r2.apk2024-10-25 22:12 16K 
[   ]py3-sphinxcontrib-doxylink-1.12.2-r2.apk2024-10-25 22:12 13K 
[   ]py3-sphinxcontrib-cartouche-pyc-1.1.2-r7.apk2024-10-25 22:12 34K 
[   ]py3-sphinxcontrib-cartouche-1.1.2-r7.apk2024-10-25 22:12 18K 
[   ]py3-sphinxcontrib-cacoo-pyc-2.0.0-r7.apk2024-10-25 22:12 4.3K 
[   ]py3-sphinxcontrib-cacoo-2.0.0-r7.apk2024-10-25 22:12 5.7K 
[   ]py3-sphinxcontrib-blockdiag-pyc-3.0.0-r4.apk2024-10-25 22:12 9.2K 
[   ]py3-sphinxcontrib-blockdiag-3.0.0-r4.apk2024-10-25 22:12 7.5K 
[   ]py3-sphinxcontrib-bitbucket-pyc-1.0-r8.apk2024-10-25 22:12 4.1K 
[   ]py3-sphinxcontrib-bitbucket-1.0-r8.apk2024-10-25 22:12 5.7K 
[   ]py3-sphinxcontrib-adadomain-pyc-0.2-r9.apk2024-10-25 22:12 12K 
[   ]py3-sphinxcontrib-adadomain-0.2-r9.apk2024-10-25 22:12 9.0K 
[   ]py3-sphinxcontrib-actdiag-pyc-3.0.0-r4.apk2024-10-25 22:12 9.3K 
[   ]py3-sphinxcontrib-actdiag-3.0.0-r4.apk2024-10-25 22:12 7.6K 
[   ]py3-sphinx-theme-readable-pyc-1.3.0-r9.apk2024-10-25 22:12 2.2K 
[   ]py3-sphinx-theme-readable-1.3.0-r9.apk2024-10-25 22:12 8.8K 
[   ]py3-sphinx-theme-guzzle-pyc-0.7.11-r7.apk2024-10-25 22:12 5.5K 
[   ]py3-sphinx-theme-guzzle-0.7.11-r7.apk2024-10-25 22:12 2.4M 
[   ]py3-sphinx-theme-epfl-pyc-1.1.1-r9.apk2024-10-25 22:12 2.5K 
[   ]py3-sphinx-theme-epfl-1.1.1-r9.apk2024-10-25 22:12 30K 
[   ]py3-sphinx-theme-cloud-pyc-1.10.0-r2.apk2024-10-25 22:12 43K 
[   ]py3-sphinx-theme-cloud-1.10.0-r2.apk2024-10-25 22:12 81K 
[   ]py3-sphinx-theme-bw-pyc-0.1.8-r7.apk2024-10-25 22:12 1.8K 
[   ]py3-sphinx-theme-bw-0.1.8-r7.apk2024-10-25 22:12 65K 
[   ]py3-sphinx-theme-bootstrap-pyc-0.8.1-r4.apk2024-10-25 22:12 2.3K 
[   ]py3-sphinx-theme-bootstrap-0.8.1-r4.apk2024-10-25 22:12 1.2M 
[   ]py3-sphinx-theme-better-pyc-0.1.5-r7.apk2024-10-25 22:12 2.0K 
[   ]py3-sphinx-theme-better-0.1.5-r7.apk2024-10-25 22:12 11K 
[   ]py3-sphinx-autodoc-typehints-pyc-2.4.3-r0.apk2024-10-25 22:12 28K 
[   ]py3-sphinx-autodoc-typehints-2.4.3-r0.apk2024-10-25 22:12 20K 
[   ]py3-sphinx-argparse-pyc-0.5.2-r0.apk2024-10-25 22:12 22K 
[   ]py3-sphinx-argparse-0.5.2-r0.apk2024-10-25 22:12 14K 
[   ]py3-spake2-pyc-0.9-r0.apk2024-10-25 22:12 44K 
[   ]py3-spake2-0.9-r0.apk2024-10-25 22:12 30K 
[   ]py3-sortedcollections-pyc-2.1.0-r5.apk2024-10-25 22:12 14K 
[   ]py3-sortedcollections-2.1.0-r5.apk2024-10-25 22:12 11K 
[   ]py3-solidpython-pyc-1.1.2-r2.apk2024-10-25 22:12 120K 
[   ]py3-solidpython-1.1.2-r2.apk2024-10-25 22:12 79K 
[   ]py3-soapy_power-pyc-1.6.1-r5.apk2024-10-25 22:12 27K 
[   ]py3-soapy_power-1.6.1-r5.apk2024-10-25 22:12 17K 
[   ]py3-soappy-pyc-0.52.28-r3.apk2024-10-25 22:12 96K 
[   ]py3-soappy-0.52.28-r3.apk2024-10-25 22:12 48K 
[   ]py3-snapshottest-pyc-0.6.0-r5.apk2024-10-25 22:12 26K 
[   ]py3-snapshottest-0.6.0-r5.apk2024-10-25 22:12 15K 
[   ]py3-slixmpp-pyc-1.8.5-r2.apk2024-10-25 22:12 729K 
[   ]py3-slixmpp-doc-1.8.5-r2.apk2024-10-25 22:12 5.8K 
[   ]py3-slixmpp-1.8.5-r2.apk2024-10-25 22:12 383K 
[   ]py3-slidge-style-parser-pyc-0.1.8-r0.apk2024-10-25 22:12 1.9K 
[   ]py3-slidge-style-parser-0.1.8-r0.apk2024-10-25 22:12 204K 
[   ]py3-simplespectral-pyc-1.0.0-r5.apk2024-10-25 22:12 8.1K 
[   ]py3-simplespectral-1.0.0-r5.apk2024-10-25 22:12 7.5K 
[   ]py3-simplesoapy-pyc-1.5.1-r7.apk2024-10-25 22:12 12K 
[   ]py3-simplesoapy-1.5.1-r7.apk2024-10-25 22:12 7.9K 
[   ]py3-simplesat-pyc-0.8.2-r0.apk2024-10-25 22:12 157K 
[   ]py3-simplesat-0.8.2-r0.apk2024-10-25 22:12 214K 
[   ]py3-simplematch-pyc-1.4-r1.apk2024-10-25 22:12 5.8K 
[   ]py3-simplematch-1.4-r1.apk2024-10-25 22:12 8.0K 
[   ]py3-simber-pyc-0.2.6-r4.apk2024-10-25 22:12 16K 
[   ]py3-simber-0.2.6-r4.apk2024-10-25 22:12 12K 
[   ]py3-shodan-pyc-1.31.0-r1.apk2024-10-25 22:12 79K 
[   ]py3-shodan-doc-1.31.0-r1.apk2024-10-25 22:12 7.2K 
[   ]py3-shodan-1.31.0-r1.apk2024-10-25 22:12 44K 
[   ]py3-sh-pyc-2.1.0-r0.apk2024-11-01 16:14 55K 
[   ]py3-sh-2.1.0-r0.apk2024-11-01 16:14 38K 
[   ]py3-setuptools-lint-pyc-0.6.0-r9.apk2024-10-25 22:12 6.0K 
[   ]py3-setuptools-lint-0.6.0-r9.apk2024-10-25 22:12 5.4K 
[   ]py3-seqdiag-pyc-3.0.0-r5.apk2024-10-25 22:12 42K 
[   ]py3-seqdiag-3.0.0-r5.apk2024-10-25 22:12 2.5M 
[   ]py3-scs-pyc-3.2.3-r4.apk2024-10-25 22:12 4.9K 
[   ]py3-scs-3.2.3-r4.apk2024-10-25 22:12 139K 
[   ]py3-scrapy-pyc-2.11.1-r1.apk2024-10-25 22:12 482K 
[   ]py3-scrapy-2.11.1-r1.apk2024-10-25 22:12 240K 
[   ]py3-scour-pyc-0.38.2-r1.apk2024-10-25 22:12 74K 
[   ]py3-scour-0.38.2-r1.apk2024-10-25 22:12 56K 
[   ]py3-schema-pyc-0.7.5-r4.apk2024-10-25 22:12 18K 
[   ]py3-schema-0.7.5-r4.apk2024-10-25 22:12 18K 
[   ]py3-rtree-pyc-1.1.0-r2.apk2024-10-25 22:12 44K 
[   ]py3-rtree-1.1.0-r2.apk2024-10-25 22:12 25K 
[   ]py3-rst2ansi-pyc-0.1.5-r0.apk2024-10-25 22:12 24K 
[   ]py3-rst2ansi-doc-0.1.5-r0.apk2024-10-25 22:12 2.2K 
[   ]py3-rst2ansi-0.1.5-r0.apk2024-10-25 22:12 12K 
[   ]py3-rst.linker-pyc-2.6.0-r0.apk2024-10-25 22:12 6.6K 
[   ]py3-rst.linker-2.6.0-r0.apk2024-10-25 22:12 6.1K 
[   ]py3-rst-pyc-0.1-r9.apk2024-10-25 22:12 6.1K 
[   ]py3-rst-0.1-r9.apk2024-10-25 22:12 5.6K 
[   ]py3-rpio-pyc-0.10.1-r8.apk2024-10-25 22:12 16K 
[   ]py3-rpio-0.10.1-r8.apk2024-10-25 22:12 37K 
[   ]py3-rospkg-pyc-1.2.9-r5.apk2024-10-25 22:12 54K 
[   ]py3-rospkg-1.2.9-r5.apk2024-10-25 22:12 29K 
[   ]py3-rosdistro-pyc-0.9.0-r3.apk2024-10-25 22:12 91K 
[   ]py3-rosdistro-0.9.0-r3.apk2024-10-25 22:12 47K 
[   ]py3-riotctrl-pyc-0.5.0-r4.apk2024-10-25 22:12 11K 
[   ]py3-riotctrl-0.5.0-r4.apk2024-10-25 22:12 13K 
[   ]py3-rich-click-pyc-1.7.3-r1.apk2024-10-25 22:12 40K 
[   ]py3-rich-click-1.7.3-r1.apk2024-10-25 22:12 31K 
[   ]py3-rfc3987-pyc-1.3.8-r6.apk2024-10-25 22:12 11K 
[   ]py3-rfc3987-1.3.8-r6.apk2024-10-25 22:12 21K 
[   ]py3-rfc-bibtex-pyc-0.3.2-r7.apk2024-10-25 22:12 12K 
[   ]py3-rfc-bibtex-0.3.2-r7.apk2024-10-25 22:12 13K 
[   ]py3-requests-wsgi-adapter-pyc-0.4.1-r1.apk2024-10-25 22:12 6.6K 
[   ]py3-requests-wsgi-adapter-0.4.1-r1.apk2024-10-25 22:12 5.5K 
[   ]py3-requests-kerberos-pyc-0.14.0-r4.apk2024-10-25 22:12 11K 
[   ]py3-requests-kerberos-0.14.0-r4.apk2024-10-25 22:12 12K 
[   ]py3-requests-cache-pyc-1.2.1-r1.apk2024-11-18 19:00 94K 
[   ]py3-requests-cache-1.2.1-r1.apk2024-11-18 19:00 50K 
[   ]py3-remind-pyc-0.19.1-r0.apk2024-10-25 22:12 23K 
[   ]py3-remind-0.19.1-r0.apk2024-10-25 22:12 25K 
[   ]py3-redmine-pyc-2.5.0-r0.apk2024-10-25 22:12 54K 
[   ]py3-redmine-2.5.0-r0.apk2024-10-25 22:12 37K 
[   ]py3-recurring-ical-events-pyc-3.3.3-r0.apk2024-11-02 10:59 28K 
[   ]py3-recurring-ical-events-3.3.3-r0.apk2024-11-02 10:59 28K 
[   ]py3-recommonmark-pyc-0.7.1-r4.apk2024-10-25 22:12 18K 
[   ]py3-recommonmark-0.7.1-r4.apk2024-10-25 22:12 12K 
[   ]py3-rapidjson-1.12-r1.apk2024-10-25 22:12 133K 
[   ]py3-radon-pyc-6.0.1-r2.apk2024-10-25 22:12 50K 
[   ]py3-radon-doc-6.0.1-r2.apk2024-10-25 22:12 5.1K 
[   ]py3-radon-6.0.1-r2.apk2024-10-25 22:12 32K 
[   ]py3-rabbit-pyc-1.1.0-r8.apk2024-10-25 22:12 15K 
[   ]py3-rabbit-1.1.0-r8.apk2024-10-25 22:12 11K 
[   ]py3-queuelib-pyc-1.7.0-r0.apk2024-10-25 22:12 25K 
[   ]py3-queuelib-1.7.0-r0.apk2024-10-25 22:12 13K 
[   ]py3-quebra-frases-pyc-0.3.7-r1.apk2024-10-25 22:12 7.8K 
[   ]py3-quebra-frases-0.3.7-r1.apk2024-10-25 22:12 8.8K 
[   ]py3-qt.py-pyc-1.3.10-r1.apk2024-10-25 22:12 25K 
[   ]py3-qt.py-1.3.10-r1.apk2024-10-25 22:12 33K 
[   ]py3-qpageview-pyc-0.6.2-r1.apk2024-10-25 22:12 180K 
[   ]py3-qpageview-doc-0.6.2-r1.apk2024-10-25 22:12 56K 
[   ]py3-qpageview-0.6.2-r1.apk2024-10-25 22:12 98K 
[   ]py3-qgis-3.34.12-r2.apk2024-11-09 21:46 20M 
[   ]py3-qbittorrent-api-pyc-2024.10.68-r0.apk2024-11-07 21:02 94K 
[   ]py3-qbittorrent-api-doc-2024.10.68-r0.apk2024-11-07 21:02 30K 
[   ]py3-qbittorrent-api-2024.10.68-r0.apk2024-11-07 21:02 57K 
[   ]py3-qasync-0.19.0-r2.apk2024-10-25 22:12 37K 
[   ]py3-pyzor-pyc-1.0.0-r11.apk2024-10-25 22:12 54K 
[   ]py3-pyzor-1.0.0-r11.apk2024-10-25 22:12 40K 
[   ]py3-pyvcd-pyc-0.4.1-r0.apk2024-11-11 22:46 40K 
[   ]py3-pyvcd-0.4.1-r0.apk2024-11-11 22:46 23K 
[   ]py3-python-stdnum-pyc-1.20-r0.apk2024-10-25 22:12 293K 
[   ]py3-python-stdnum-1.20-r0.apk2024-10-25 22:12 806K 
[   ]py3-python-logstash-pyc-0.4.8-r4.apk2024-10-25 22:12 8.4K 
[   ]py3-python-logstash-doc-0.4.8-r4.apk2024-10-25 22:12 2.3K 
[   ]py3-python-logstash-0.4.8-r4.apk2024-10-25 22:12 8.6K 
[   ]py3-python-jose-pyc-3.3.0-r2.apk2024-10-25 22:12 49K 
[   ]py3-python-jose-doc-3.3.0-r2.apk2024-10-25 22:12 3.1K 
[   ]py3-python-jose-3.3.0-r2.apk2024-10-25 22:12 29K 
[   ]py3-python-iptables-pyc-1.0.1-r1.apk2024-10-25 22:12 68K 
[   ]py3-python-iptables-1.0.1-r1.apk2024-10-25 22:12 39K 
[   ]py3-python-archive-pyc-0.2-r7.apk2024-10-25 22:12 9.3K 
[   ]py3-python-archive-0.2-r7.apk2024-10-25 22:12 7.4K 
[   ]py3-pytest-subprocess-pyc-1.5.2-r0.apk2024-10-25 22:12 25K 
[   ]py3-pytest-subprocess-1.5.2-r0.apk2024-10-25 22:12 20K 
[   ]py3-pytest-regtest-pyc-2.3.2-r0.apk2024-11-14 00:09 30K 
[   ]py3-pytest-regtest-2.3.2-r0.apk2024-11-14 00:09 16K 
[   ]py3-pytest-metadata-pyc-3.1.1-r0.apk2024-10-25 22:12 7.9K 
[   ]py3-pytest-metadata-3.1.1-r0.apk2024-10-25 22:12 10K 
[   ]py3-pytest-html-pyc-4.1.1-r1.apk2024-10-25 22:12 22K 
[   ]py3-pytest-html-4.1.1-r1.apk2024-10-25 22:12 22K 
[   ]py3-pytest-home-pyc-0.6.0-r0.apk2024-10-25 22:12 2.8K 
[   ]py3-pytest-home-0.6.0-r0.apk2024-10-25 22:12 4.5K 
[   ]py3-pytest-expect-pyc-1.1.0-r10.apk2024-10-25 22:12 7.0K 
[   ]py3-pytest-expect-1.1.0-r10.apk2024-10-25 22:12 5.9K 
[   ]py3-pyte-pyc-0.8.2-r2.apk2024-10-25 22:12 39K 
[   ]py3-pyte-0.8.2-r2.apk2024-10-25 22:12 30K 
[   ]py3-pytap2-pyc-2.3.0-r0.apk2024-10-25 22:12 6.0K 
[   ]py3-pytap2-doc-2.3.0-r0.apk2024-10-25 22:12 2.8K 
[   ]py3-pytap2-2.3.0-r0.apk2024-10-25 22:12 7.0K 
[   ]py3-pytaglib-pyc-1.5.0-r3.apk2024-10-25 22:12 3.0K 
[   ]py3-pytaglib-1.5.0-r3.apk2024-10-25 22:12 36K 
[   ]py3-pysubs2-pyc-1.7.3-r0.apk2024-10-25 22:12 58K 
[   ]py3-pysubs2-1.7.3-r0.apk2024-10-25 22:12 33K 
[   ]py3-pystache-pyc-0.6.5-r1.apk2024-10-25 22:12 97K 
[   ]py3-pystache-0.6.5-r1.apk2024-10-25 22:12 68K 
[   ]py3-pysrt-pyc-1.1.2-r4.apk2024-10-25 22:12 23K 
[   ]py3-pysrt-1.1.2-r4.apk2024-10-25 22:12 26K 
[   ]py3-pyspinel-pyc-1.0.3-r1.apk2024-10-25 22:12 63K 
[   ]py3-pyspinel-1.0.3-r1.apk2024-10-25 22:12 56K 
[   ]py3-pysonic-pyc-1.0.1-r1.apk2024-10-25 22:12 32K 
[   ]py3-pysonic-1.0.1-r1.apk2024-10-25 22:12 35K 
[   ]py3-pysimplesoap-pyc-1.16.2-r7.apk2024-10-25 22:12 78K 
[   ]py3-pysimplesoap-1.16.2-r7.apk2024-10-25 22:12 44K 
[   ]py3-pyseto-pyc-1.17.4-r1.apk2024-11-14 10:05 42K 
[   ]py3-pyseto-1.17.4-r1.apk2024-11-14 10:05 55K 
[   ]py3-pysequoia-pyc-0.1.20-r3.apk2024-10-25 22:12 1.9K 
[   ]py3-pysequoia-0.1.20-r3.apk2024-10-25 22:12 1.5M 
[   ]py3-pyscreeze-pyc-0.1.29-r3.apk2024-10-25 22:12 14K 
[   ]py3-pyscreeze-0.1.29-r3.apk2024-10-25 22:12 14K 
[   ]py3-pyroma-pyc-4.2-r0.apk2024-10-25 22:12 26K 
[   ]py3-pyroma-4.2-r0.apk2024-10-25 22:12 22K 
[   ]py3-pyrebase-pyc-3.0.27-r5.apk2024-10-25 22:12 18K 
[   ]py3-pyrebase-3.0.27-r5.apk2024-10-25 22:12 9.6K 
[   ]py3-pyrad-pyc-2.4-r1.apk2024-10-25 22:12 56K 
[   ]py3-pyrad-2.4-r1.apk2024-10-25 22:12 29K 
[   ]py3-pyqrcode-pyc-1.2.1-r0.apk2024-10-25 22:12 47K 
[   ]py3-pyqrcode-doc-1.2.1-r0.apk2024-10-25 22:12 4.3K 
[   ]py3-pyqrcode-1.2.1-r0.apk2024-10-25 22:12 37K 
[   ]py3-pypubsub-pyc-4.0.3-r0.apk2024-10-25 22:12 90K 
[   ]py3-pypubsub-doc-4.0.3-r0.apk2024-10-25 22:12 2.1K 
[   ]py3-pypubsub-4.0.3-r0.apk2024-10-25 22:12 52K 
[   ]py3-pyparted-pyc-3.13.0-r1.apk2024-10-25 22:12 42K 
[   ]py3-pyparted-3.13.0-r1.apk2024-10-25 22:12 77K 
[   ]py3-pynest2d-5.2.2-r4.apk2024-10-25 22:12 278K 
[   ]py3-pymsteams-pyc-0.2.3-r1.apk2024-10-25 22:12 6.6K 
[   ]py3-pymsteams-0.2.3-r1.apk2024-10-25 22:12 12K 
[   ]py3-pymsgbox-pyc-1.0.9-r5.apk2024-10-25 22:12 9.8K 
[   ]py3-pymsgbox-1.0.9-r5.apk2024-10-25 22:12 9.2K 
[   ]py3-pymeta3-pyc-0.5.1-r6.apk2024-10-25 22:12 32K 
[   ]py3-pymeta3-0.5.1-r6.apk2024-10-25 22:12 17K 
[   ]py3-pymata4-pyc-1.15-r4.apk2024-10-25 22:12 31K 
[   ]py3-pymata4-1.15-r4.apk2024-10-25 22:12 23K 
[   ]py3-pymata-pyc-2.20-r4.apk2024-10-25 22:12 29K 
[   ]py3-pymata-2.20-r4.apk2024-10-25 22:12 22K 
[   ]py3-pymaging-pyc-0.0.20130908-r10.apk2024-10-25 22:12 32K 
[   ]py3-pymaging-png-pyc-0.0.20130727-r10.apk2024-10-25 22:12 52K 
[   ]py3-pymaging-png-0.0.20130727-r10.apk2024-10-25 22:12 35K 
[   ]py3-pymaging-0.0.20130908-r10.apk2024-10-25 22:12 18K 
[   ]py3-pylru-pyc-1.2.1-r1.apk2024-10-25 22:12 8.9K 
[   ]py3-pylru-1.2.1-r1.apk2024-10-25 22:12 17K 
[   ]py3-pyisbn-pyc-1.3.1-r3.apk2024-10-25 22:12 9.4K 
[   ]py3-pyisbn-1.3.1-r3.apk2024-10-25 22:12 20K 
[   ]py3-pyinstrument-pyc-4.7.3-r0.apk2024-10-25 22:12 96K 
[   ]py3-pyinstrument-4.7.3-r0.apk2024-10-25 22:12 92K 
[   ]py3-pyinstaller-pyc-6.6.0-r0.apk2024-10-25 22:12 502K 
[   ]py3-pyinstaller-6.6.0-r0.apk2024-10-25 22:12 1.9M 
[   ]py3-pygtail-pyc-0.14.0-r3.apk2024-10-25 22:12 10K 
[   ]py3-pygtail-0.14.0-r3.apk2024-10-25 22:12 15K 
[   ]py3-pygpgme-pyc-0.3.1-r9.apk2024-10-25 22:12 5.1K 
[   ]py3-pygpgme-0.3.1-r9.apk2024-10-25 22:12 37K 
[   ]py3-pyglm-2.7.3-r0.apk2024-11-06 10:41 1.1M 
[   ]py3-pyglet-pyc-2.0.17-r0.apk2024-10-25 22:12 1.5M 
[   ]py3-pyglet-2.0.17-r0.apk2024-10-25 22:12 857K 
[   ]py3-pygfm-pyc-2.0.0-r2.apk2024-10-25 22:12 13K 
[   ]py3-pygfm-2.0.0-r2.apk2024-10-25 22:12 13K 
[   ]py3-pygelbooru-pyc-0.5.0-r4.apk2024-10-25 22:12 11K 
[   ]py3-pygelbooru-0.5.0-r4.apk2024-10-25 22:12 8.1K 
[   ]py3-pyexmdb-1.11-r1.apk2024-10-25 22:12 122K 
[   ]py3-pydes-pyc-2.0.1-r5.apk2024-10-25 22:12 13K 
[   ]py3-pydes-doc-2.0.1-r5.apk2024-10-25 22:12 3.6K 
[   ]py3-pydes-2.0.1-r5.apk2024-10-25 22:12 11K 
[   ]py3-pycosat-0.6.6-r2.apk2024-10-25 22:12 46K 
[   ]py3-pycolorterm-pyc-0.2.1-r6.apk2024-10-25 22:12 3.7K 
[   ]py3-pycolorterm-0.2.1-r6.apk2024-10-25 22:12 5.5K 
[   ]py3-pycaption-2.2.15-r0.apk2024-10-25 22:12 360K 
[   ]py3-pybars3-pyc-0.9.7-r6.apk2024-10-25 22:12 17K 
[   ]py3-pybars3-0.9.7-r6.apk2024-10-25 22:12 15K 
[   ]py3-pyautogui-pyc-0.9.53-r5.apk2024-10-25 22:12 45K 
[   ]py3-pyautogui-0.9.53-r5.apk2024-10-25 22:12 35K 
[   ]py3-pyatem-pyc-0.5.0-r4.apk2024-10-25 22:12 92K 
[   ]py3-pyatem-0.5.0-r4.apk2024-10-25 22:12 53K 
[   ]py3-py-radix-pyc-0.10.0-r10.apk2024-10-25 22:12 11K 
[   ]py3-py-radix-0.10.0-r10.apk2024-10-25 22:12 20K 
[   ]py3-puremagic-pyc-1.28-r0.apk2024-10-25 22:12 11K 
[   ]py3-puremagic-1.28-r0.apk2024-10-25 22:12 43K 
[   ]py3-pure_protobuf-pyc-3.1.2-r0.apk2024-10-25 22:12 38K 
[   ]py3-pure_protobuf-3.1.2-r0.apk2024-10-25 22:12 21K 
[   ]py3-proxmoxer-pyc-2.1.0-r0.apk2024-10-25 22:12 28K 
[   ]py3-proxmoxer-2.1.0-r0.apk2024-10-25 22:12 17K 
[   ]py3-protego-pyc-0.3.0-r2.apk2024-10-25 22:12 12K 
[   ]py3-protego-0.3.0-r2.apk2024-10-25 22:12 9.6K 
[   ]py3-proglog-pyc-0.1.10-r2.apk2024-10-25 22:12 9.9K 
[   ]py3-proglog-0.1.10-r2.apk2024-10-25 22:12 7.4K 
[   ]py3-print-color-pyc-0.4.6-r0.apk2024-10-25 22:12 5.0K 
[   ]py3-print-color-doc-0.4.6-r0.apk2024-10-25 22:12 2.6K 
[   ]py3-print-color-0.4.6-r0.apk2024-10-25 22:12 8.9K 
[   ]py3-pprintpp-pyc-0.4.0-r1.apk2024-10-25 22:12 16K 
[   ]py3-pprintpp-0.4.0-r1.apk2024-10-25 22:12 14K 
[   ]py3-poppler-qt5-21.3.0-r1.apk2024-10-25 22:12 120K 
[   ]py3-poetry-dynamic-versioning-pyc-1.4.1-r0.apk2024-10-25 22:12 24K 
[   ]py3-poetry-dynamic-versioning-1.4.1-r0.apk2024-10-25 22:12 19K 
[   ]py3-pockethernet-pyc-0.7.0-r4.apk2024-10-25 22:12 25K 
[   ]py3-pockethernet-0.7.0-r4.apk2024-10-25 22:12 15K 
[   ]py3-pltable-pyc-1.1.0-r1.apk2024-11-13 07:38 33K 
[   ]py3-pltable-1.1.0-r1.apk2024-11-13 07:38 19K 
[   ]py3-plexapi-pyc-4.15.16-r0.apk2024-10-25 22:12 304K 
[   ]py3-plexapi-doc-4.15.16-r0.apk2024-10-25 22:12 83K 
[   ]py3-plexapi-4.15.16-r0.apk2024-10-25 22:12 153K 
[   ]py3-playsound-pyc-1.3.0-r1.apk2024-10-25 22:12 8.5K 
[   ]py3-playsound-1.3.0-r1.apk2024-10-25 22:12 6.9K 
[   ]py3-pivy-0.6.9-r0.apk2024-10-25 22:12 1.9M 
[   ]py3-pip-system-certs-pyc-4.0-r1.apk2024-10-25 22:12 4.7K 
[   ]py3-pip-system-certs-4.0-r1.apk2024-10-25 22:12 7.0K 
[   ]py3-pillow_heif-pyc-0.18.0-r0.apk2024-10-25 22:12 36K 
[   ]py3-pillow_heif-0.18.0-r0.apk2024-10-25 22:12 52K 
[   ]py3-pika-pyc-1.3.2-r1.apk2024-10-25 22:12 246K 
[   ]py3-pika-1.3.2-r1.apk2024-10-25 22:12 143K 
[   ]py3-pickle-secure-pyc-0.99.9-r1.apk2024-10-25 22:12 5.4K 
[   ]py3-pickle-secure-0.99.9-r1.apk2024-10-25 22:12 7.5K 
[   ]py3-piccata-pyc-2.0.3-r1.apk2024-10-25 22:12 34K 
[   ]py3-piccata-2.0.3-r1.apk2024-10-25 22:12 20K 
[   ]py3-phx-class-registry-pyc-5.0.0-r0.apk2024-10-25 22:12 17K 
[   ]py3-phx-class-registry-doc-5.0.0-r0.apk2024-10-25 22:12 2.2K 
[   ]py3-phx-class-registry-5.0.0-r0.apk2024-10-25 22:12 13K 
[   ]py3-phpserialize-pyc-1.3-r8.apk2024-10-25 22:12 11K 
[   ]py3-phpserialize-1.3-r8.apk2024-10-25 22:12 8.8K 
[   ]py3-pep8-naming-pyc-0.14.1-r0.apk2024-10-25 22:12 13K 
[   ]py3-pep8-naming-0.14.1-r0.apk2024-10-25 22:12 9.8K 
[   ]py3-pelican-pyc-4.9.1-r2.apk2024-10-25 22:12 147K 
[   ]py3-pelican-4.9.1-r2.apk2024-10-25 22:12 234K 
[   ]py3-pdal-pyc-3.4.5-r0.apk2024-11-05 22:54 13K 
[   ]py3-pdal-3.4.5-r0.apk2024-11-05 22:54 186K 
[   ]py3-pcbnewtransition-pyc-0.4.1-r0.apk2024-10-25 22:12 9.6K 
[   ]py3-pcbnewtransition-0.4.1-r0.apk2024-10-25 22:12 7.7K 
[   ]py3-pbs-installer-pyc-2024.10.16-r0.apk2024-10-25 22:12 54K 
[   ]py3-pbs-installer-2024.10.16-r0.apk2024-10-25 22:12 47K 
[   ]py3-pbkdf2-pyc-1.3-r7.apk2024-10-25 22:12 7.1K 
[   ]py3-pbkdf2-1.3-r7.apk2024-10-25 22:12 6.3K 
[   ]py3-pathvalidate-pyc-3.2.1-r0.apk2024-10-25 22:12 33K 
[   ]py3-pathvalidate-3.2.1-r0.apk2024-10-25 22:12 19K 
[   ]py3-parse-pyc-1.20.2-r0.apk2024-10-25 22:12 18K 
[   ]py3-parse-1.20.2-r0.apk2024-10-25 22:12 20K 
[   ]py3-pam-pyc-2.0.2-r2.apk2024-10-25 22:12 13K 
[   ]py3-pam-2.0.2-r2.apk2024-10-25 22:12 11K 
[   ]py3-padacioso-pyc-0.2.1-r0.apk2024-10-25 22:12 12K 
[   ]py3-padacioso-0.2.1-r0.apk2024-10-25 22:12 11K 
[   ]py3-pacparser-pyc-1.4.5-r1.apk2024-10-25 22:12 4.0K 
[   ]py3-pacparser-1.4.5-r1.apk2024-10-25 22:12 386K 
[   ]py3-owslib-pyc-0.32.0-r0.apk2024-11-07 23:59 421K 
[   ]py3-owslib-0.32.0-r0.apk2024-11-07 23:59 193K 
[   ]py3-ovos-workshop-pyc-3.1.0-r0.apk2024-11-21 14:32 156K 
[   ]py3-ovos-workshop-3.1.0-r0.apk2024-11-21 14:32 87K 
[   ]py3-ovos-utils-pyc-0.5.0-r0.apk2024-11-21 14:32 124K 
[   ]py3-ovos-utils-0.5.0-r0.apk2024-11-21 14:32 69K 
[   ]py3-ovos-tts-server-plugin-pyc-0.0.2_alpha13-r1.apk2024-10-25 22:12 5.4K 
[   ]py3-ovos-tts-server-plugin-0.0.2_alpha13-r1.apk2024-10-25 22:12 9.5K 
[   ]py3-ovos-translate-server-plugin-pyc-0.0.0-r0.apk2024-10-25 22:12 4.1K 
[   ]py3-ovos-translate-server-plugin-0.0.0-r0.apk2024-10-25 22:12 8.3K 
[   ]py3-ovos-stt-plugin-server-pyc-0.0.4_alpha4-r1.apk2024-10-25 22:12 6.8K 
[   ]py3-ovos-stt-plugin-server-0.0.4_alpha4-r1.apk2024-10-25 22:12 10K 
[   ]py3-ovos-plugin-manager-pyc-0.6.0-r0.apk2024-11-21 14:32 178K 
[   ]py3-ovos-plugin-manager-0.6.0-r0.apk2024-11-21 14:32 92K 
[   ]py3-ovos-phal-plugin-system-pyc-1.0.1-r0.apk2024-11-21 14:32 9.6K 
[   ]py3-ovos-phal-plugin-system-1.0.1-r0.apk2024-11-21 14:32 15K 
[   ]py3-ovos-phal-plugin-oauth-pyc-0.1.3-r0.apk2024-11-21 14:32 9.7K 
[   ]py3-ovos-phal-plugin-oauth-0.1.3-r0.apk2024-11-21 14:32 12K 
[   ]py3-ovos-phal-plugin-network-manager-pyc-1.3.2-r0.apk2024-11-21 14:32 11K 
[   ]py3-ovos-phal-plugin-network-manager-1.3.2-r0.apk2024-11-21 14:32 95K 
[   ]py3-ovos-phal-plugin-ipgeo-pyc-0.0.2-r1.apk2024-10-25 22:12 4.4K 
[   ]py3-ovos-phal-plugin-ipgeo-0.0.2-r1.apk2024-10-25 22:12 4.5K 
[   ]py3-ovos-phal-plugin-connectivity-events-pyc-0.1.1-r0.apk2024-11-21 14:32 5.0K 
[   ]py3-ovos-phal-plugin-connectivity-events-0.1.1-r0.apk2024-11-21 14:32 8.6K 
[   ]py3-ovos-ocp-rss-plugin-pyc-0.0.3-r0.apk2024-10-25 22:12 3.7K 
[   ]py3-ovos-ocp-rss-plugin-0.0.3-r0.apk2024-10-25 22:12 8.3K 
[   ]py3-ovos-ocp-news-plugin-pyc-0.0.4-r0.apk2024-10-25 22:12 8.9K 
[   ]py3-ovos-ocp-news-plugin-0.0.4-r0.apk2024-10-25 22:12 11K 
[   ]py3-ovos-ocp-m3u-plugin-pyc-0.0.2-r0.apk2024-10-25 22:12 3.4K 
[   ]py3-ovos-ocp-m3u-plugin-0.0.2-r0.apk2024-10-25 22:12 8.1K 
[   ]py3-ovos-ocp-files-plugin-pyc-0.13.1-r0.apk2024-10-25 22:12 104K 
[   ]py3-ovos-ocp-files-plugin-0.13.1-r0.apk2024-10-25 22:12 47K 
[   ]py3-ovos-ocp-audio-plugin-pyc-0.0.6_alpha2-r3.apk2024-10-25 22:12 86K 
[   ]py3-ovos-ocp-audio-plugin-0.0.6_alpha2-r3.apk2024-10-25 22:12 550K 
[   ]py3-ovos-microphone-plugin-alsa-pyc-0.1.0-r0.apk2024-10-25 22:12 4.8K 
[   ]py3-ovos-microphone-plugin-alsa-0.1.0-r0.apk2024-10-25 22:12 9.0K 
[   ]py3-ovos-lingua-franca-pyc-0.4.8_alpha3-r2.apk2024-10-25 22:12 437K 
[   ]py3-ovos-lingua-franca-0.4.8_alpha3-r2.apk2024-10-25 22:12 358K 
[   ]py3-ovos-config-pyc-0.4.3-r0.apk2024-11-21 14:32 34K 
[   ]py3-ovos-config-0.4.3-r0.apk2024-11-21 14:32 44K 
[   ]py3-ovos-classifiers-pyc-0.0.0_alpha53-r0.apk2024-10-25 22:12 164K 
[   ]py3-ovos-classifiers-0.0.0_alpha53-r0.apk2024-10-25 22:12 103K 
[   ]py3-ovos-bus-client-pyc-1.0.4-r0.apk2024-11-21 14:32 81K 
[   ]py3-ovos-bus-client-1.0.4-r0.apk2024-11-21 14:32 45K 
[   ]py3-ovos-backend-client-pyc-1.0.0-r0.apk2024-10-25 22:12 91K 
[   ]py3-ovos-backend-client-1.0.0-r0.apk2024-10-25 22:12 46K 
[   ]py3-ovos-audio-plugin-simple-pyc-0.0.1-r0.apk2024-10-25 22:12 8.2K 
[   ]py3-ovos-audio-plugin-simple-0.0.1-r0.apk2024-10-25 22:12 10K 
[   ]py3-orderedmultidict-pyc-1.0.1-r7.apk2024-10-25 22:12 17K 
[   ]py3-orderedmultidict-1.0.1-r7.apk2024-10-25 22:12 12K 
[   ]py3-openwisp-utils-pyc-1.0.4-r4.apk2024-10-25 22:12 42K 
[   ]py3-openwisp-utils-1.0.4-r4.apk2024-10-25 22:12 498K 
[   ]py3-openssh-wrapper-pyc-0.5_git20130425-r4.apk2024-10-25 22:12 10K 
[   ]py3-openssh-wrapper-0.5_git20130425-r4.apk2024-10-25 22:12 8.2K 
[   ]py3-opendht-3.1.7-r4.apk2024-11-11 20:23 154K 
[   ]py3-openapi-core-pyc-0.19.4-r0.apk2024-10-25 22:12 151K 
[   ]py3-openapi-core-0.19.4-r0.apk2024-10-25 22:12 67K 
[   ]py3-openapi-codec-pyc-1.3.2-r9.apk2024-10-25 22:12 12K 
[   ]py3-openapi-codec-1.3.2-r9.apk2024-10-25 22:12 7.7K 
[   ]py3-okonomiyaki-pyc-2.0.0-r0.apk2024-10-25 22:12 243K 
[   ]py3-okonomiyaki-2.0.0-r0.apk2024-10-25 22:12 7.9M 
[   ]py3-nwdiag-pyc-3.0.0-r3.apk2024-10-25 22:12 78K 
[   ]py3-nwdiag-3.0.0-r3.apk2024-10-25 22:12 4.9M 
[   ]py3-numpy-stl-pyc-3.0.1-r4.apk2024-10-25 22:12 27K 
[   ]py3-numpy-stl-3.0.1-r4.apk2024-10-25 22:12 20K 
[   ]py3-ntplib-pyc-0.4.0-r5.apk2024-10-25 22:12 8.6K 
[   ]py3-ntplib-0.4.0-r5.apk2024-10-25 22:12 7.4K 
[   ]py3-nptyping-pyc-2.5.0-r3.apk2024-10-25 22:12 32K 
[   ]py3-nptyping-2.5.0-r3.apk2024-10-25 22:12 21K 
[   ]py3-notifymail-pyc-1.1-r8.apk2024-10-25 22:12 5.7K 
[   ]py3-notifymail-1.1-r8.apk2024-10-25 22:12 7.6K 
[   ]py3-nose-timer-pyc-1.0.1-r6.apk2024-10-25 22:12 9.9K 
[   ]py3-nose-timer-1.0.1-r6.apk2024-10-25 22:12 9.4K 
[   ]py3-nmap-pyc-0.7.1-r4.apk2024-10-25 22:12 25K 
[   ]py3-nmap-0.7.1-r4.apk2024-10-25 22:12 20K 
[   ]py3-niaarmts-pyc-0.1.2-r0.apk2024-11-13 17:55 16K 
[   ]py3-niaarmts-0.1.2-r0.apk2024-11-13 17:55 13K 
[   ]py3-netmiko-pyc-4.4.0-r0.apk2024-10-25 22:12 334K 
[   ]py3-netmiko-4.4.0-r0.apk2024-10-25 22:12 176K 
[   ]py3-netifaces2-pyc-0.0.22-r0.apk2024-10-25 22:12 9.2K 
[   ]py3-netifaces2-0.0.22-r0.apk2024-10-25 22:12 195K 
[   ]py3-ncclient-pyc-0.6.13-r5.apk2024-10-25 22:12 107K 
[   ]py3-ncclient-0.6.13-r5.apk2024-10-25 22:12 68K 
[   ]py3-natpmp-pyc-1.3.2-r1.apk2024-10-25 22:12 9.9K 
[   ]py3-natpmp-1.3.2-r1.apk2024-10-25 22:12 9.4K 
[   ]py3-mujson-pyc-1.4-r0.apk2024-10-25 22:12 5.7K 
[   ]py3-mujson-1.4-r0.apk2024-10-25 22:12 8.2K 
[   ]py3-mss-10.0.0-r0.apk2024-11-14 14:12 51K 
[   ]py3-msldap-pyc-0.5.12-r0.apk2024-10-25 22:12 315K 
[   ]py3-msldap-0.5.12-r0.apk2024-10-25 22:12 139K 
[   ]py3-moviepy-pyc-1.0.3-r6.apk2024-10-25 22:12 156K 
[   ]py3-moviepy-1.0.3-r6.apk2024-10-25 22:12 94K 
[   ]py3-more-properties-pyc-1.1.1-r3.apk2024-10-25 22:12 8.2K 
[   ]py3-more-properties-1.1.1-r3.apk2024-10-25 22:12 7.4K 
[   ]py3-mopidy-tidal-pyc-0.3.2-r6.apk2024-10-25 22:12 36K 
[   ]py3-mopidy-tidal-0.3.2-r6.apk2024-10-25 22:12 25K 
[   ]py3-mopidy-mpd-pyc-3.3.0-r4.apk2024-10-25 22:12 74K 
[   ]py3-mopidy-mpd-3.3.0-r4.apk2024-10-25 22:12 46K 
[   ]py3-mopidy-local-pyc-3.2.1-r4.apk2024-10-25 22:12 34K 
[   ]py3-mopidy-local-3.2.1-r4.apk2024-10-25 22:12 27K 
[   ]py3-mopidy-jellyfin-pyc-1.0.4-r4.apk2024-10-25 22:12 37K 
[   ]py3-mopidy-jellyfin-1.0.4-r4.apk2024-10-25 22:12 25K 
[   ]py3-modbus-tk-pyc-1.1.1-r4.apk2024-10-25 22:12 48K 
[   ]py3-modbus-tk-1.1.1-r4.apk2024-10-25 22:12 25K 
[   ]py3-mnemonic-pyc-0.21-r0.apk2024-10-25 22:12 9.7K 
[   ]py3-mnemonic-doc-0.21-r0.apk2024-10-25 22:12 2.4K 
[   ]py3-mnemonic-0.21-r0.apk2024-10-25 22:12 95K 
[   ]py3-mistletoe-pyc-1.2.1-r2.apk2024-10-25 22:12 92K 
[   ]py3-mistletoe-1.2.1-r2.apk2024-10-25 22:12 44K 
[   ]py3-minio-pyc-7.2.0-r1.apk2024-10-25 22:12 137K 
[   ]py3-minio-7.2.0-r1.apk2024-10-25 22:12 68K 
[   ]py3-minikerberos-pyc-0.4.4-r1.apk2024-10-25 22:12 264K 
[   ]py3-minikerberos-0.4.4-r1.apk2024-10-25 22:12 129K 
[   ]py3-minijinja-2.4.0-r1.apk2024-10-28 01:29 590K 
[   ]py3-minidump-pyc-0.0.24-r0.apk2024-10-25 22:12 130K 
[   ]py3-minidump-0.0.24-r0.apk2024-10-25 22:12 65K 
[   ]py3-minidb-pyc-2.0.8-r0.apk2024-11-13 19:50 23K 
[   ]py3-minidb-2.0.8-r0.apk2024-11-13 19:50 10K 
[   ]py3-milc-pyc-1.9.0-r0.apk2024-10-25 22:12 42K 
[   ]py3-milc-1.9.0-r0.apk2024-10-25 22:12 26K 
[   ]py3-migen-pyc-0.9.2-r2.apk2024-10-25 22:12 296K 
[   ]py3-migen-0.9.2-r2.apk2024-10-25 22:12 143K 
[   ]py3-mbedtls-pyc-2.10.1-r2.apk2024-10-25 22:12 27K 
[   ]py3-mbedtls-2.10.1-r2.apk2024-10-25 22:12 889K 
[   ]py3-mattermostdriver-pyc-7.3.2-r0.apk2024-10-25 22:12 32K 
[   ]py3-mattermostdriver-7.3.2-r0.apk2024-10-25 22:12 21K 
[   ]py3-marshmallow-pyc-3.23.1-r0.apk2024-11-01 23:16 82K 
[   ]py3-marshmallow-enum-pyc-1.5.1-r7.apk2024-10-25 22:12 4.5K 
[   ]py3-marshmallow-enum-1.5.1-r7.apk2024-10-25 22:12 5.3K 
[   ]py3-marshmallow-3.23.1-r0.apk2024-11-01 23:16 46K 
[   ]py3-markdown2-pyc-2.5.0-r0.apk2024-10-25 22:12 75K 
[   ]py3-markdown2-2.5.0-r0.apk2024-10-25 22:12 47K 
[   ]py3-marisa-trie-1.2.1-r0.apk2024-11-11 14:13 139K 
[   ]py3-mapbox-earcut-1.0.1-r2.apk2024-10-25 22:12 63K 
[   ]py3-manuel-pyc-1.12.4-r3.apk2024-10-25 22:12 26K 
[   ]py3-manuel-1.12.4-r3.apk2024-10-25 22:12 39K 
[   ]py3-mando-pyc-0.7.1-r3.apk2024-10-25 22:12 36K 
[   ]py3-mando-doc-0.7.1-r3.apk2024-10-25 22:12 4.2K 
[   ]py3-mando-0.7.1-r3.apk2024-10-25 22:12 22K 
[   ]py3-m2crypto-pyc-0.41.0-r2.apk2024-10-25 22:12 123K 
[   ]py3-m2crypto-0.41.0-r2.apk2024-10-25 22:12 195K 
[   ]py3-lzo-pyc-1.16-r1.apk2024-10-25 22:12 2.0K 
[   ]py3-lzo-1.16-r1.apk2024-10-25 22:12 17K 
[   ]py3-ly-pyc-0.9.8-r1.apk2024-10-25 22:12 355K 
[   ]py3-ly-doc-0.9.8-r1.apk2024-10-25 22:12 8.1K 
[   ]py3-ly-0.9.8-r1.apk2024-10-25 22:12 187K 
[   ]py3-lunr-pyc-0.6.2-r4.apk2024-10-25 22:12 51K 
[   ]py3-lunr-0.6.2-r4.apk2024-10-25 22:12 32K 
[   ]py3-luhn-pyc-0.2.0-r9.apk2024-10-25 22:12 2.6K 
[   ]py3-luhn-0.2.0-r9.apk2024-10-25 22:12 4.0K 
[   ]py3-lsprotocol-pyc-2023.0.1-r1.apk2024-10-25 22:12 107K 
[   ]py3-lsprotocol-2023.0.1-r1.apk2024-10-25 22:12 69K 
[   ]py3-lsp-mypy-pyc-0.6.8-r1.apk2024-10-25 22:12 11K 
[   ]py3-lsp-mypy-0.6.8-r1.apk2024-10-25 22:12 12K 
[   ]py3-lsp-black-pyc-2.0.0-r1.apk2024-10-25 22:12 6.4K 
[   ]py3-lsp-black-2.0.0-r1.apk2024-10-25 22:12 7.5K 
[   ]py3-logtop-pyc-0.7-r0.apk2024-10-25 22:12 4.1K 
[   ]py3-logtop-0.7-r0.apk2024-10-25 22:12 21K 
[   ]py3-logfury-pyc-1.0.1-r0.apk2024-10-25 22:12 7.1K 
[   ]py3-logfury-doc-1.0.1-r0.apk2024-10-25 22:12 2.4K 
[   ]py3-logfury-1.0.1-r0.apk2024-10-25 22:12 7.9K 
[   ]py3-log-symbols-pyc-0.0.14-r5.apk2024-10-25 22:12 3.1K 
[   ]py3-log-symbols-0.0.14-r5.apk2024-10-25 22:12 4.2K 
[   ]py3-livestream-pyc-2.0.0-r2.apk2024-10-25 22:12 31K 
[   ]py3-livestream-2.0.0-r2.apk2024-10-25 22:12 767K 
[   ]py3-litex-hub-valentyusb-2024.04-r0.apk2024-10-25 22:12 112K 
[   ]py3-litex-hub-pythondata-software-picolibc-2024.04-r0.apk2024-10-25 22:12 4.7M 
[   ]py3-litex-hub-pythondata-software-compiler_rt-2024.04-r0.apk2024-10-25 22:12 2.2M 
[   ]py3-litex-hub-pythondata-misc-tapcfg-2024.04-r0.apk2024-10-25 22:12 58K 
[   ]py3-litex-hub-pythondata-cpu-vexriscv_smp-2024.04-r0.apk2024-10-25 22:12 2.4M 
[   ]py3-litex-hub-pythondata-cpu-vexriscv-2024.04-r0.apk2024-10-25 22:12 713K 
[   ]py3-litex-hub-pythondata-cpu-serv-2024.04-r0.apk2024-10-25 22:12 675K 
[   ]py3-litex-hub-pythondata-cpu-rocket-2024.04-r0.apk2024-10-25 22:12 57M 
[   ]py3-litex-hub-pythondata-cpu-picorv32-2024.04-r0.apk2024-10-25 22:12 230K 
[   ]py3-litex-hub-pythondata-cpu-naxriscv-2024.04-r0.apk2024-10-25 22:12 7.6K 
[   ]py3-litex-hub-pythondata-cpu-mor1kx-2024.04-r0.apk2024-10-25 22:12 221K 
[   ]py3-litex-hub-pythondata-cpu-minerva-2024.04-r0.apk2024-10-25 22:12 45K 
[   ]py3-litex-hub-pythondata-cpu-microwatt-2024.04-r0.apk2024-10-25 22:12 19M 
[   ]py3-litex-hub-pythondata-cpu-marocchino-2024.04-r0.apk2024-10-25 22:12 208K 
[   ]py3-litex-hub-pythondata-cpu-lm32-2024.04-r0.apk2024-10-25 22:12 112K 
[   ]py3-litex-hub-pythondata-cpu-ibex-2024.04-r0.apk2024-10-25 22:12 1.8M 
[   ]py3-litex-hub-pythondata-cpu-cva6-2024.04-r0.apk2024-10-25 22:12 10M 
[   ]py3-litex-hub-pythondata-cpu-cva5-2024.04-r0.apk2024-10-25 22:12 500K 
[   ]py3-litex-hub-pythondata-cpu-cv32e41p-2024.04-r0.apk2024-10-25 22:12 934K 
[   ]py3-litex-hub-pythondata-cpu-cv32e40p-2024.04-r0.apk2024-10-25 22:12 1.9M 
[   ]py3-litex-hub-pythondata-cpu-blackparrot-2024.04-r0.apk2024-10-25 22:12 5.6M 
[   ]py3-litex-hub-modules-pyc-2024.04-r0.apk2024-10-25 22:12 1.1M 
[   ]py3-litex-hub-modules-2024.04-r0.apk2024-10-25 22:12 1.6K 
[   ]py3-linkify-it-py-pyc-2.0.3-r1.apk2024-10-25 22:12 23K 
[   ]py3-linkify-it-py-2.0.3-r1.apk2024-10-25 22:12 21K 
[   ]py3-limits-pyc-3.13.0-r1.apk2024-10-25 22:12 72K 
[   ]py3-limits-3.13.0-r1.apk2024-10-25 22:12 35K 
[   ]py3-librtmp-pyc-0.3.0-r6.apk2024-10-25 22:12 25K 
[   ]py3-librtmp-0.3.0-r6.apk2024-10-25 22:12 35K 
[   ]py3-libnacl-pyc-2.1.0-r1.apk2024-10-25 22:12 30K 
[   ]py3-libnacl-2.1.0-r1.apk2024-10-25 22:12 20K 
[   ]py3-libmdbx-pyc-0.10.2-r7.apk2024-10-25 22:12 33K 
[   ]py3-libmdbx-0.10.2-r7.apk2024-10-25 22:12 28K 
[   ]py3-liblarch-pyc-3.2.0-r5.apk2024-10-25 22:12 50K 
[   ]py3-liblarch-3.2.0-r5.apk2024-10-25 22:12 30K 
[   ]py3-libiio-0.25-r2.apk2024-10-25 22:12 13K 
[   ]py3-libguestfs-1.52.0-r1.apk2024-10-25 22:12 182K 
[   ]py3-libacl-0.7.0-r2.apk2024-10-25 22:12 26K 
[   ]py3-lib_users-pyc-0.15-r4.apk2024-10-25 22:12 9.5K 
[   ]py3-lib_users-0.15-r4.apk2024-10-25 22:12 16K 
[   ]py3-levenshtein-pyc-0.25.1-r2.apk2024-10-25 22:12 9.4K 
[   ]py3-levenshtein-0.25.1-r2.apk2024-10-25 22:12 247K 
[   ]py3-latex2mathml-pyc-3.77.0-r1.apk2024-10-25 22:12 35K 
[   ]py3-latex2mathml-3.77.0-r1.apk2024-10-25 22:12 72K 
[   ]py3-language-data-pyc-1.2.0-r0.apk2024-10-25 22:12 3.0M 
[   ]py3-language-data-1.2.0-r0.apk2024-10-25 22:12 5.0M 
[   ]py3-langcodes-pyc-3.3.0-r2.apk2024-10-25 22:12 110K 
[   ]py3-langcodes-3.3.0-r2.apk2024-10-25 22:12 174K 
[   ]py3-landlock-pyc-1.0.0_pre4-r2.apk2024-10-25 22:12 9.5K 
[   ]py3-landlock-1.0.0_pre4-r2.apk2024-10-25 22:12 8.4K 
[   ]py3-kikit-pyc-1.6.0-r0.apk2024-10-25 22:12 274K 
[   ]py3-kikit-1.6.0-r0.apk2024-10-25 22:12 239K 
[   ]py3-kerberos-1.3.1-r5.apk2024-10-25 22:12 17K 
[   ]py3-keepalive-pyc-0.5-r5.apk2024-10-25 22:12 13K 
[   ]py3-keepalive-doc-0.5-r5.apk2024-10-25 22:12 2.0K 
[   ]py3-keepalive-0.5-r5.apk2024-10-25 22:12 9.0K 
[   ]py3-kazoo-pyc-0_git20211202-r4.apk2024-10-25 22:12 245K 
[   ]py3-kazoo-0_git20211202-r4.apk2024-10-25 22:12 125K 
[   ]py3-junit-xml-pyc-1.9-r3.apk2024-10-25 22:12 9.3K 
[   ]py3-junit-xml-1.9-r3.apk2024-10-25 22:12 8.3K 
[   ]py3-jsonschema417-pyc-4.17.3-r1.apk2024-10-25 22:12 125K 
[   ]py3-jsonschema417-4.17.3-r1.apk2024-10-25 22:12 73K 
[   ]py3-jaraco.versioning-pyc-1.1.0-r0.apk2024-10-25 22:12 6.1K 
[   ]py3-jaraco.versioning-1.1.0-r0.apk2024-10-25 22:12 5.9K 
[   ]py3-jaraco.vcs-pyc-2.4.0-r0.apk2024-10-25 22:12 15K 
[   ]py3-jaraco.vcs-2.4.0-r0.apk2024-10-25 22:12 9.8K 
[   ]py3-jaraco.stream-pyc-3.0.3-r0.apk2024-10-25 22:12 8.2K 
[   ]py3-jaraco.stream-3.0.3-r0.apk2024-10-25 22:12 6.9K 
[   ]py3-jaraco.path-pyc-3.7.2-r0.apk2024-10-25 22:12 9.5K 
[   ]py3-jaraco.path-3.7.2-r0.apk2024-10-25 22:12 7.6K 
[   ]py3-jaraco.logging-pyc-3.3.0-r0.apk2024-10-25 22:12 5.8K 
[   ]py3-jaraco.logging-3.3.0-r0.apk2024-10-25 22:12 6.0K 
[   ]py3-janus-pyc-1.1.0-r0.apk2024-10-30 07:00 13K 
[   ]py3-janus-1.1.0-r0.apk2024-10-30 07:00 12K 
[   ]py3-itunespy-pyc-1.6-r4.apk2024-10-25 22:12 15K 
[   ]py3-itunespy-1.6-r4.apk2024-10-25 22:12 10K 
[   ]py3-iterable-io-pyc-1.0.0-r0.apk2024-10-25 22:12 5.3K 
[   ]py3-iterable-io-1.0.0-r0.apk2024-10-25 22:12 6.0K 
[   ]py3-itemloaders-pyc-1.3.2-r0.apk2024-10-25 22:12 17K 
[   ]py3-itemloaders-1.3.2-r0.apk2024-10-25 22:12 13K 
[   ]py3-itemadapter-pyc-0.8.0-r3.apk2024-10-25 22:12 13K 
[   ]py3-itemadapter-0.8.0-r3.apk2024-10-25 22:12 12K 
[   ]py3-iso639-lang-pyc-2.2.3-r0.apk2024-10-25 22:12 9.7K 
[   ]py3-iso639-lang-2.2.3-r0.apk2024-10-25 22:12 269K 
[   ]py3-irc-pyc-20.4.1-r0.apk2024-10-25 22:12 71K 
[   ]py3-irc-20.4.1-r0.apk2024-10-25 22:12 41K 
[   ]py3-invoke-pyc-2.2.0-r2.apk2024-10-25 22:12 231K 
[   ]py3-invoke-2.2.0-r2.apk2024-10-25 22:12 147K 
[   ]py3-intervals-pyc-0.9.2-r5.apk2024-10-25 22:12 15K 
[   ]py3-intervals-0.9.2-r5.apk2024-10-25 22:12 9.4K 
[   ]py3-iniparse-pyc-0.5-r7.apk2024-10-25 22:12 25K 
[   ]py3-iniparse-doc-0.5-r7.apk2024-10-25 22:12 10K 
[   ]py3-iniparse-0.5-r7.apk2024-10-25 22:12 19K 
[   ]py3-infinity-pyc-1.5-r6.apk2024-10-25 22:12 3.7K 
[   ]py3-infinity-1.5-r6.apk2024-10-25 22:12 4.4K 
[   ]py3-incoming-pyc-0.3.1-r8.apk2024-10-25 22:12 20K 
[   ]py3-incoming-0.3.1-r8.apk2024-10-25 22:12 13K 
[   ]py3-imdbpy-pyc-2021.4.18-r5.apk2024-10-25 22:12 243K 
[   ]py3-imdbpy-2021.4.18-r5.apk2024-10-25 22:12 229K 
[   ]py3-imageio-pyc-2.35.1-r0.apk2024-10-25 22:12 504K 
[   ]py3-imageio-ffmpeg-pyc-0.4.9-r1.apk2024-10-25 22:12 20K 
[   ]py3-imageio-ffmpeg-0.4.9-r1.apk2024-10-25 22:12 16K 
[   ]py3-imageio-2.35.1-r0.apk2024-10-25 22:12 287K 
[   ]py3-igraph-pyc-0.11.6-r0.apk2024-10-25 22:12 373K 
[   ]py3-igraph-dev-0.11.6-r0.apk2024-10-25 22:12 2.6K 
[   ]py3-igraph-0.11.6-r0.apk2024-10-25 22:12 407K 
[   ]py3-hurry.filesize-pyc-0.9-r8.apk2024-10-25 22:12 3.3K 
[   ]py3-hurry.filesize-0.9-r8.apk2024-10-25 22:12 4.6K 
[   ]py3-html5-parser-pyc-0.4.12-r1.apk2024-10-25 22:12 22K 
[   ]py3-html5-parser-0.4.12-r1.apk2024-10-25 22:12 167K 
[   ]py3-hishel-pyc-0.0.33-r0.apk2024-10-25 22:12 73K 
[   ]py3-hishel-0.0.33-r0.apk2024-10-25 22:12 34K 
[   ]py3-hg-git-pyc-1.1.1-r1.apk2024-10-25 22:12 107K 
[   ]py3-hg-git-1.1.1-r1.apk2024-10-25 22:12 70K 
[   ]py3-hfst-3.16.0-r2.apk2024-10-25 22:12 382K 
[   ]py3-helper-pyc-2.5.0-r5.apk2024-10-25 22:12 28K 
[   ]py3-helper-2.5.0-r5.apk2024-10-25 22:12 19K 
[   ]py3-hatch-openzim-pyc-0.2.0-r0.apk2024-10-25 22:12 13K 
[   ]py3-hatch-openzim-bootstrap-pyc-0.1.0-r0.apk2024-10-25 22:12 13K 
[   ]py3-hatch-openzim-bootstrap-0.1.0-r0.apk2024-10-25 22:12 24K 
[   ]py3-hatch-openzim-0.2.0-r0.apk2024-10-25 22:12 25K 
[   ]py3-halo-pyc-0.0.31-r5.apk2024-10-25 22:12 14K 
[   ]py3-halo-0.0.31-r5.apk2024-10-25 22:12 11K 
[   ]py3-grequests-pyc-0.7.0-r2.apk2024-10-25 22:12 5.9K 
[   ]py3-grequests-0.7.0-r2.apk2024-10-25 22:12 6.8K 
[   ]py3-googletrans-pyc-3.0.0-r5.apk2024-10-25 22:12 17K 
[   ]py3-googletrans-3.0.0-r5.apk2024-10-25 22:12 15K 
[   ]py3-google-trans-new-pyc-1.1.9-r2.apk2024-10-25 22:12 11K 
[   ]py3-google-trans-new-1.1.9-r2.apk2024-10-25 22:12 9.2K 
[   ]py3-gnucash-5.9-r1.apk2024-11-19 00:51 300K 
[   ]py3-gls-pyc-1.3.1-r1.apk2024-10-25 22:12 84K 
[   ]py3-gls-1.3.1-r1.apk2024-10-25 22:12 47K 
[   ]py3-glob2-pyc-0.7-r6.apk2024-10-25 22:12 13K 
[   ]py3-glob2-0.7-r6.apk2024-10-25 22:12 10K 
[   ]py3-github3-pyc-4.0.1-r1.apk2024-10-25 22:12 227K 
[   ]py3-github3-4.0.1-r1.apk2024-10-25 22:12 128K 
[   ]py3-git-versioner-pyc-7.1-r1.apk2024-10-25 22:12 14K 
[   ]py3-git-versioner-7.1-r1.apk2024-10-25 22:12 12K 
[   ]py3-gevent-websocket-pyc-0.10.1-r8.apk2024-10-25 22:12 30K 
[   ]py3-gevent-websocket-0.10.1-r8.apk2024-10-25 22:12 20K 
[   ]py3-geoip-1.3.2-r4.apk2024-10-25 22:12 23K 
[   ]py3-gdcm-3.0.24-r0.apk2024-10-25 22:12 690K 
[   ]py3-fuzzywuzzy-pyc-0.18.0-r7.apk2024-10-25 22:12 15K 
[   ]py3-fuzzywuzzy-0.18.0-r7.apk2024-10-25 22:12 18K 
[   ]py3-furl-pyc-2.1.3-r4.apk2024-10-25 22:12 32K 
[   ]py3-furl-2.1.3-r4.apk2024-10-25 22:12 21K 
[   ]py3-funcparserlib-pyc-1.0.1-r4.apk2024-10-25 22:12 19K 
[   ]py3-funcparserlib-1.0.1-r4.apk2024-10-25 22:12 17K 
[   ]py3-freetype-py-2.5.1-r0.apk2024-10-25 22:12 161K 
[   ]py3-fpdf-pyc-1.7.2-r5.apk2024-10-25 22:12 89K 
[   ]py3-fpdf-1.7.2-r5.apk2024-10-25 22:12 40K 
[   ]py3-forbiddenfruit-pyc-0.1.4-r2.apk2024-10-25 22:12 9.7K 
[   ]py3-forbiddenfruit-0.1.4-r2.apk2024-10-25 22:12 9.0K 
[   ]py3-flask-themer-pyc-2.0.0-r2.apk2024-10-25 22:12 7.0K 
[   ]py3-flask-themer-2.0.0-r2.apk2024-10-25 22:12 7.9K 
[   ]py3-flask-security-pyc-5.4.3-r2.apk2024-10-25 22:12 211K 
[   ]py3-flask-security-5.4.3-r2.apk2024-10-25 22:12 267K 
[   ]py3-flask-restless-pyc-0.17.0-r9.apk2024-10-25 22:12 59K 
[   ]py3-flask-restless-0.17.0-r9.apk2024-10-25 22:12 40K 
[   ]py3-flask-restaction-pyc-0.25.3-r8.apk2024-10-25 22:12 20K 
[   ]py3-flask-restaction-0.25.3-r8.apk2024-10-25 22:12 115K 
[   ]py3-flask-qrcode-pyc-3.1.0-r4.apk2024-10-25 22:12 6.2K 
[   ]py3-flask-qrcode-3.1.0-r4.apk2024-10-25 22:12 18K 
[   ]py3-flask-peewee-pyc-3.0.6-r0.apk2024-10-25 22:12 95K 
[   ]py3-flask-peewee-3.0.6-r0.apk2024-10-25 22:12 172K 
[   ]py3-flask-paginate-pyc-0.8.1-r6.apk2024-10-25 22:12 11K 
[   ]py3-flask-paginate-0.8.1-r6.apk2024-10-25 22:12 8.2K 
[   ]py3-flask-migrate-pyc-4.0.7-r0.apk2024-10-25 22:12 18K 
[   ]py3-flask-migrate-4.0.7-r0.apk2024-10-25 22:12 13K 
[   ]py3-flask-markdown-pyc-0.3-r8.apk2024-10-25 22:12 3.9K 
[   ]py3-flask-markdown-0.3-r8.apk2024-10-25 22:12 5.6K 
[   ]py3-flask-mailman-pyc-1.1.1-r0.apk2024-10-25 22:12 26K 
[   ]py3-flask-mailman-1.1.1-r0.apk2024-10-25 22:12 16K 
[   ]py3-flask-loopback-pyc-1.4.7-r7.apk2024-10-25 22:12 7.9K 
[   ]py3-flask-loopback-1.4.7-r7.apk2024-10-25 22:12 5.6K 
[   ]py3-flask-limiter-pyc-3.7.0-r1.apk2024-10-25 22:12 48K 
[   ]py3-flask-limiter-3.7.0-r1.apk2024-10-25 22:12 27K 
[   ]py3-flask-json-schema-pyc-0.0.5-r4.apk2024-10-25 22:12 3.4K 
[   ]py3-flask-json-schema-0.0.5-r4.apk2024-10-25 22:12 4.1K 
[   ]py3-flask-httpauth-pyc-4.8.0-r2.apk2024-10-25 22:12 11K 
[   ]py3-flask-httpauth-4.8.0-r2.apk2024-10-25 22:12 8.0K 
[   ]py3-flask-headers-pyc-1.0-r9.apk2024-10-25 22:12 2.5K 
[   ]py3-flask-headers-1.0-r9.apk2024-10-25 22:12 3.2K 
[   ]py3-flask-gzip-pyc-0.2-r8.apk2024-10-25 22:12 2.8K 
[   ]py3-flask-gzip-0.2-r8.apk2024-10-25 22:12 3.2K 
[   ]py3-flask-flatpages-pyc-0.8.2-r2.apk2024-10-25 22:12 13K 
[   ]py3-flask-flatpages-0.8.2-r2.apk2024-10-25 22:12 11K 
[   ]py3-flask-dbconfig-pyc-0.3.12-r8.apk2024-10-25 22:12 6.3K 
[   ]py3-flask-dbconfig-0.3.12-r8.apk2024-10-25 22:12 86K 
[   ]py3-flask-components-pyc-0.1.1-r9.apk2024-10-25 22:12 3.3K 
[   ]py3-flask-components-0.1.1-r9.apk2024-10-25 22:12 3.9K 
[   ]py3-flask-cdn-pyc-1.5.3-r8.apk2024-10-25 22:12 4.1K 
[   ]py3-flask-cdn-1.5.3-r8.apk2024-10-25 22:12 4.8K 
[   ]py3-flask-cache-pyc-0.13.1-r9.apk2024-10-25 22:12 18K 
[   ]py3-flask-cache-0.13.1-r9.apk2024-10-25 22:12 13K 
[   ]py3-flask-bootstrap-pyc-3.3.7.1-r8.apk2024-10-25 22:12 11K 
[   ]py3-flask-bootstrap-3.3.7.1-r8.apk2024-10-25 22:12 450K 
[   ]py3-flask-bcrypt-pyc-1.0.1-r5.apk2024-10-25 22:12 5.8K 
[   ]py3-flask-bcrypt-1.0.1-r5.apk2024-10-25 22:12 7.1K 
[   ]py3-flask-basicauth-pyc-0.2.0-r9.apk2024-10-25 22:12 4.1K 
[   ]py3-flask-basicauth-0.2.0-r9.apk2024-10-25 22:12 5.3K 
[   ]py3-flask-autorouter-pyc-0.2.2-r3.apk2024-10-25 22:12 5.0K 
[   ]py3-flask-autorouter-0.2.2-r3.apk2024-10-25 22:12 5.1K 
[   ]py3-flask-admin-pyc-1.6.1-r3.apk2024-10-25 22:12 358K 
[   ]py3-flask-admin-1.6.1-r3.apk2024-10-25 22:12 6.5M 
[   ]py3-flask-accept-pyc-0.0.6-r1.apk2024-10-25 22:12 3.7K 
[   ]py3-flask-accept-0.0.6-r1.apk2024-10-25 22:12 5.0K 
[   ]py3-flake8-todo-pyc-0.7-r7.apk2024-10-25 22:12 2.2K 
[   ]py3-flake8-todo-0.7-r7.apk2024-10-25 22:12 3.6K 
[   ]py3-flake8-snippets-pyc-0.2-r8.apk2024-10-25 22:12 3.7K 
[   ]py3-flake8-snippets-0.2-r8.apk2024-10-25 22:12 5.3K 
[   ]py3-flake8-print-pyc-5.0.0-r5.apk2024-10-25 22:12 4.4K 
[   ]py3-flake8-print-5.0.0-r5.apk2024-10-25 22:12 6.7K 
[   ]py3-flake8-polyfill-pyc-1.0.2-r5.apk2024-10-25 22:12 5.7K 
[   ]py3-flake8-polyfill-1.0.2-r5.apk2024-10-25 22:12 7.1K 
[   ]py3-flake8-isort-pyc-6.1.1-r1.apk2024-10-25 22:12 5.4K 
[   ]py3-flake8-isort-6.1.1-r1.apk2024-10-25 22:12 18K 
[   ]py3-flake8-import-order-pyc-0.18.2-r4.apk2024-10-25 22:12 17K 
[   ]py3-flake8-import-order-0.18.2-r4.apk2024-10-25 22:12 15K 
[   ]py3-flake8-debugger-pyc-4.1.2-r4.apk2024-10-25 22:12 6.0K 
[   ]py3-flake8-debugger-4.1.2-r4.apk2024-10-25 22:12 6.2K 
[   ]py3-flake8-copyright-pyc-0.2.4-r3.apk2024-10-25 22:12 3.3K 
[   ]py3-flake8-copyright-0.2.4-r3.apk2024-10-25 22:12 18K 
[   ]py3-flake8-builtins-pyc-2.1.0-r3.apk2024-10-25 22:12 7.0K 
[   ]py3-flake8-builtins-2.1.0-r3.apk2024-10-25 22:12 14K 
[   ]py3-flake8-blind-except-pyc-0.2.1-r4.apk2024-10-25 22:12 2.6K 
[   ]py3-flake8-blind-except-0.2.1-r4.apk2024-10-25 22:12 5.2K 
[   ]py3-firmata-pyc-1.0.3-r10.apk2024-10-25 22:12 21K 
[   ]py3-firmata-1.0.3-r10.apk2024-10-25 22:12 14K 
[   ]py3-findpython-pyc-0.6.2-r0.apk2024-10-25 22:12 30K 
[   ]py3-findpython-0.6.2-r0.apk2024-10-25 22:12 17K 
[   ]py3-ffmpeg-pyc-0.2.0-r4.apk2024-10-25 22:12 33K 
[   ]py3-ffmpeg-0.2.0-r4.apk2024-10-25 22:12 24K 
[   ]py3-feedgenerator-pyc-2.1.0-r2.apk2024-10-25 22:12 27K 
[   ]py3-feedgenerator-2.1.0-r2.apk2024-10-25 22:12 18K 
[   ]py3-feedgen-pyc-1.0.0-r1.apk2024-10-25 22:12 62K 
[   ]py3-feedgen-1.0.0-r1.apk2024-10-25 22:12 40K 
[   ]py3-fastdiff-pyc-0.3.0-r5.apk2024-10-25 22:12 4.2K 
[   ]py3-fastdiff-0.3.0-r5.apk2024-10-25 22:12 38K 
[   ]py3-fastapi-pyc-0.111.0-r0.apk2024-10-25 22:12 142K 
[   ]py3-fastapi-0.111.0-r0.apk2024-10-25 22:12 83K 
[   ]py3-falcon-pyc-3.1.3-r0.apk2024-10-25 22:12 337K 
[   ]py3-falcon-3.1.3-r0.apk2024-10-25 22:12 1.6M 
[   ]py3-evohome-client-pyc-0.3.7-r4.apk2024-10-25 22:12 27K 
[   ]py3-evohome-client-0.3.7-r4.apk2024-10-25 22:12 19K 
[   ]py3-eventlet-pyc-0.36.1-r0.apk2024-10-25 22:12 336K 
[   ]py3-eventlet-0.36.1-r0.apk2024-10-25 22:12 334K 
[   ]py3-euclid3-pyc-0.01-r8.apk2024-10-25 22:12 33K 
[   ]py3-euclid3-0.01-r8.apk2024-10-25 22:12 14K 
[   ]py3-eradicate-pyc-2.3.0-r2.apk2024-10-25 22:12 8.3K 
[   ]py3-eradicate-doc-2.3.0-r2.apk2024-10-25 22:12 2.5K 
[   ]py3-eradicate-2.3.0-r2.apk2024-10-25 22:12 7.6K 
[   ]py3-enzyme-pyc-0.5.1-r0.apk2024-10-25 22:12 19K 
[   ]py3-enzyme-0.5.1-r0.apk2024-10-25 22:12 23K 
[   ]py3-empy-pyc-3.3.4-r7.apk2024-10-25 22:12 58K 
[   ]py3-empy-3.3.4-r7.apk2024-10-25 22:12 39K 
[   ]py3-editdistance-s-pyc-1.0.0-r6.apk2024-10-25 22:12 2.0K 
[   ]py3-editdistance-s-1.0.0-r6.apk2024-10-25 22:12 17K 
[   ]py3-edalize-pyc-0.5.4-r0.apk2024-10-25 22:12 190K 
[   ]py3-edalize-0.5.4-r0.apk2024-10-25 22:12 123K 
[   ]py3-ecos-pyc-2.0.11-r4.apk2024-10-25 22:12 3.6K 
[   ]py3-ecos-2.0.11-r4.apk2024-10-25 22:12 27K 
[   ]py3-dweepy-pyc-0.3.0-r7.apk2024-10-25 22:12 6.3K 
[   ]py3-dweepy-0.3.0-r7.apk2024-10-25 22:12 9.1K 
[   ]py3-duniterpy-1.1.1-r3.apk2024-10-25 22:12 221K 
[   ]py3-dunamai-pyc-1.22.0-r0.apk2024-10-25 22:12 43K 
[   ]py3-dunamai-1.22.0-r0.apk2024-10-25 22:12 26K 
[   ]py3-dt-schema-pyc-2024.11-r0.apk2024-11-11 20:23 50K 
[   ]py3-dt-schema-2024.11-r0.apk2024-11-11 20:23 78K 
[   ]py3-drf-yasg-pyc-1.21.7-r2.apk2024-10-25 22:12 97K 
[   ]py3-drf-yasg-1.21.7-r2.apk2024-10-25 22:12 4.1M 
[   ]py3-dpath-pyc-2.2.0-r0.apk2024-10-25 22:12 18K 
[   ]py3-dpath-2.2.0-r0.apk2024-10-25 22:12 17K 
[   ]py3-downloader-cli-pyc-0.3.4-r1.apk2024-10-25 22:12 14K 
[   ]py3-downloader-cli-0.3.4-r1.apk2024-10-25 22:12 12K 
[   ]py3-dotty-dict-pyc-1.3.1-r4.apk2024-10-25 22:12 8.7K 
[   ]py3-dotty-dict-1.3.1-r4.apk2024-10-25 22:12 8.4K 
[   ]py3-dominate-pyc-2.9.1-r1.apk2024-10-25 22:12 34K 
[   ]py3-dominate-2.9.1-r1.apk2024-10-25 22:12 25K 
[   ]py3-doit-pyc-0.36.0-r5.apk2024-10-25 22:12 133K 
[   ]py3-doit-0.36.0-r5.apk2024-10-25 22:12 77K 
[   ]py3-dogpile.cache-pyc-1.3.3-r0.apk2024-10-25 22:12 90K 
[   ]py3-dogpile.cache-1.3.3-r0.apk2024-10-25 22:12 53K 
[   ]py3-dnslib-pyc-0.9.25-r0.apk2024-10-25 22:12 109K 
[   ]py3-dnslib-0.9.25-r0.apk2024-10-25 22:12 52K 
[   ]py3-django-tenants-pyc-3.7.0-r0.apk2024-10-25 22:12 123K 
[   ]py3-django-tenants-3.7.0-r0.apk2024-10-25 22:12 80K 
[   ]py3-django-taggit-serializer-pyc-0.1.7-r8.apk2024-10-25 22:12 5.0K 
[   ]py3-django-taggit-serializer-0.1.7-r8.apk2024-10-25 22:12 4.0K 
[   ]py3-django-suit-pyc-0.2.28-r8.apk2024-10-25 22:12 33K 
[   ]py3-django-suit-0.2.28-r8.apk2024-10-25 22:12 366K 
[   ]py3-django-compress-staticfiles-pyc-1.0.1_beta0-r6.apk2024-10-25 22:12 15K 
[   ]py3-django-compress-staticfiles-1.0.1_beta0-r6.apk2024-10-25 22:12 15K 
[   ]py3-distorm3-pyc-3.5.2-r6.apk2024-10-25 22:12 49K 
[   ]py3-distorm3-3.5.2-r6.apk2024-10-25 22:12 47K 
[   ]py3-diskcache-pyc-5.6.3-r2.apk2024-10-25 22:12 67K 
[   ]py3-diskcache-5.6.3-r2.apk2024-10-25 22:12 42K 
[   ]py3-discid-pyc-1.2.0-r6.apk2024-10-25 22:12 13K 
[   ]py3-discid-1.2.0-r6.apk2024-10-25 22:12 24K 
[   ]py3-dexml-pyc-0.5.1-r9.apk2024-10-25 22:12 37K 
[   ]py3-dexml-0.5.1-r9.apk2024-10-25 22:12 22K 
[   ]py3-dep-logic-pyc-0.4.9-r0.apk2024-10-25 22:12 53K 
[   ]py3-dep-logic-0.4.9-r0.apk2024-10-25 22:12 28K 
[   ]py3-deluge-client-pyc-1.10.2-r0.apk2024-10-25 22:12 20K 
[   ]py3-deluge-client-doc-1.10.2-r0.apk2024-10-25 22:12 2.3K 
[   ]py3-deluge-client-1.10.2-r0.apk2024-10-25 22:12 13K 
[   ]py3-dbus-fast-pyc-2.24.4-r0.apk2024-11-18 19:00 126K 
[   ]py3-dbus-fast-doc-2.24.4-r0.apk2024-11-18 19:00 5.4K 
[   ]py3-dbus-fast-2.24.4-r0.apk2024-11-18 19:00 572K 
[   ]py3-daterangestr-pyc-0.0.3-r8.apk2024-10-25 22:12 4.2K 
[   ]py3-daterangestr-0.0.3-r8.apk2024-10-25 22:12 4.4K 
[   ]py3-dataclasses-serialization-pyc-1.3.1-r3.apk2024-10-25 22:12 14K 
[   ]py3-dataclasses-serialization-1.3.1-r3.apk2024-10-25 22:12 11K 
[   ]py3-dataclasses-json-pyc-0.6.7-r0.apk2024-10-25 22:12 36K 
[   ]py3-dataclasses-json-0.6.7-r0.apk2024-10-25 22:12 27K 
[   ]py3-cython-test-exception-raiser-pyc-1.0.2-r0.apk2024-10-25 22:12 1.8K 
[   ]py3-cython-test-exception-raiser-1.0.2-r0.apk2024-10-25 22:12 18K 
[   ]py3-cvxpy-pyc-1.2.1-r5.apk2024-10-25 22:12 936K 
[   ]py3-cvxpy-1.2.1-r5.apk2024-10-25 22:12 680K 
[   ]py3-cucumber-tag-expressions-pyc-6.0.0-r1.apk2024-10-25 22:12 10K 
[   ]py3-cucumber-tag-expressions-6.0.0-r1.apk2024-10-25 22:12 8.5K 
[   ]py3-cstruct-pyc-5.3-r1.apk2024-10-25 22:12 36K 
[   ]py3-cstruct-5.3-r1.apk2024-10-25 22:12 22K 
[   ]py3-cssutils-pyc-2.11.1-r1.apk2024-10-25 22:12 279K 
[   ]py3-cssutils-2.11.1-r1.apk2024-10-25 22:12 155K 
[   ]py3-createrepo_c-pyc-1.1.4-r0.apk2024-10-25 22:12 15K 
[   ]py3-createrepo_c-1.1.4-r0.apk2024-10-25 22:12 42K 
[   ]py3-crc16-pyc-0.1.1-r10.apk2024-10-25 22:12 4.7K 
[   ]py3-crc16-0.1.1-r10.apk2024-10-25 22:12 12K 
[   ]py3-coreapi-pyc-2.3.3-r9.apk2024-10-25 22:12 43K 
[   ]py3-coreapi-2.3.3-r9.apk2024-10-25 22:12 22K 
[   ]py3-cookiecutter-pyc-2.6.0-r1.apk2024-10-25 22:12 47K 
[   ]py3-cookiecutter-doc-2.6.0-r1.apk2024-10-25 22:12 3.7K 
[   ]py3-cookiecutter-2.6.0-r1.apk2024-10-25 22:12 35K 
[   ]py3-compdb-pyc-0.2.0-r8.apk2024-10-25 22:12 40K 
[   ]py3-compdb-doc-0.2.0-r8.apk2024-10-25 22:12 3.0K 
[   ]py3-compdb-0.2.0-r8.apk2024-10-25 22:12 23K 
[   ]py3-columnize-pyc-0.3.11-r4.apk2024-10-25 22:12 7.5K 
[   ]py3-columnize-0.3.11-r4.apk2024-10-25 22:12 8.5K 
[   ]py3-colorthief-pyc-0.2.1-r1.apk2024-10-25 22:12 10K 
[   ]py3-colorthief-0.2.1-r1.apk2024-10-25 22:12 7.3K 
[   ]py3-colored-pyc-1.4.4-r3.apk2024-10-25 22:12 17K 
[   ]py3-colored-1.4.4-r3.apk2024-10-25 22:12 15K 
[   ]py3-colander-pyc-2.0-r2.apk2024-10-25 22:12 42K 
[   ]py3-colander-2.0-r2.apk2024-10-25 22:12 62K 
[   ]py3-cobs-pyc-1.2.0-r4.apk2024-10-25 22:12 12K 
[   ]py3-cobs-1.2.0-r4.apk2024-10-25 22:12 19K 
[   ]py3-cmd2-pyc-2.4.3-r2.apk2024-10-25 22:12 223K 
[   ]py3-cmd2-2.4.3-r2.apk2024-10-25 22:12 139K 
[   ]py3-clickclick-pyc-20.10.2-r4.apk2024-10-25 22:12 9.8K 
[   ]py3-clickclick-20.10.2-r4.apk2024-10-25 22:12 8.0K 
[   ]py3-click-threading-pyc-0.5.0-r5.apk2024-10-25 22:12 7.9K 
[   ]py3-click-threading-0.5.0-r5.apk2024-10-25 22:12 6.3K 
[   ]py3-click-default-group-pyc-1.2.4-r1.apk2024-10-25 22:12 4.5K 
[   ]py3-click-default-group-1.2.4-r1.apk2024-10-25 22:12 5.1K 
[   ]py3-click-completion-pyc-0.5.2-r1.apk2024-10-25 22:12 14K 
[   ]py3-click-completion-0.5.2-r1.apk2024-10-25 22:12 11K 
[   ]py3-class-doc-pyc-1.25-r1.apk2024-10-25 22:12 8.7K 
[   ]py3-class-doc-1.25-r1.apk2024-10-25 22:12 6.1K 
[   ]py3-cjkwrap-pyc-2.2-r4.apk2024-10-25 22:12 5.2K 
[   ]py3-cjkwrap-2.2-r4.apk2024-10-25 22:12 4.6K 
[   ]py3-ciso8601-2.3.1-r1.apk2024-10-25 22:12 16K 
[   ]py3-chameleon-pyc-4.5.4-r0.apk2024-10-25 22:12 131K 
[   ]py3-chameleon-4.5.4-r0.apk2024-10-25 22:12 97K 
[   ]py3-certauth-pyc-1.3.0-r1.apk2024-10-25 22:12 9.1K 
[   ]py3-certauth-1.3.0-r1.apk2024-10-25 22:12 8.7K 
[   ]py3-cdio-pyc-2.1.1-r5.apk2024-10-25 22:12 43K 
[   ]py3-cdio-2.1.1-r5.apk2024-10-25 22:12 102K 
[   ]py3-cchardet-pyc-2.1.7-r5.apk2024-10-25 22:12 3.1K 
[   ]py3-cchardet-2.1.7-r5.apk2024-10-25 22:12 124K 
[   ]py3-catkin-pkg-pyc-0.5.2-r4.apk2024-10-25 22:12 103K 
[   ]py3-catkin-pkg-0.5.2-r4.apk2024-10-25 22:12 57K 
[   ]py3-cassandra-driver-pyc-3.29.2-r0.apk2024-10-25 22:12 560K 
[   ]py3-cassandra-driver-3.29.2-r0.apk2024-10-25 22:12 286K 
[   ]py3-caldav-pyc-1.4.0-r0.apk2024-11-09 21:46 90K 
[   ]py3-caldav-1.4.0-r0.apk2024-11-09 21:46 68K 
[   ]py3-c3d-pyc-0.5.2-r1.apk2024-10-25 22:12 54K 
[   ]py3-c3d-0.5.2-r1.apk2024-10-25 22:12 32K 
[   ]py3-businesstime-pyc-0.3.0-r9.apk2024-10-25 22:12 16K 
[   ]py3-businesstime-0.3.0-r9.apk2024-10-25 22:12 11K 
[   ]py3-bson-pyc-0.5.10-r6.apk2024-10-25 22:12 19K 
[   ]py3-bson-0.5.10-r6.apk2024-10-25 22:12 12K 
[   ]py3-bottle-werkzeug-pyc-0.1.1-r9.apk2024-10-25 22:12 4.3K 
[   ]py3-bottle-werkzeug-0.1.1-r9.apk2024-10-25 22:12 4.1K 
[   ]py3-bottle-websocket-pyc-0.2.9-r8.apk2024-10-25 22:12 3.1K 
[   ]py3-bottle-websocket-0.2.9-r8.apk2024-10-25 22:12 4.6K 
[   ]py3-bottle-sqlite-pyc-0.2.0-r7.apk2024-10-25 22:12 5.2K 
[   ]py3-bottle-sqlite-0.2.0-r7.apk2024-10-25 22:12 4.7K 
[   ]py3-bottle-sqlalchemy-pyc-0.4.3-r8.apk2024-10-25 22:12 5.6K 
[   ]py3-bottle-sqlalchemy-0.4.3-r8.apk2024-10-25 22:12 4.8K 
[   ]py3-bottle-session-pyc-1.0-r6.apk2024-10-25 22:12 7.8K 
[   ]py3-bottle-session-1.0-r6.apk2024-10-25 22:12 10K 
[   ]py3-bottle-rest-pyc-0.6.0-r1.apk2024-10-25 22:12 5.2K 
[   ]py3-bottle-rest-0.6.0-r1.apk2024-10-25 22:12 6.1K 
[   ]py3-bottle-request-pyc-0.2.0-r9.apk2024-10-25 22:12 2.6K 
[   ]py3-bottle-request-0.2.0-r9.apk2024-10-25 22:12 3.2K 
[   ]py3-bottle-renderer-pyc-0.1.1-r9.apk2024-10-25 22:12 3.7K 
[   ]py3-bottle-renderer-0.1.1-r9.apk2024-10-25 22:12 4.0K 
[   ]py3-bottle-redis-pyc-0.2.3-r6.apk2024-10-25 22:12 3.1K 
[   ]py3-bottle-redis-0.2.3-r6.apk2024-10-25 22:12 3.3K 
[   ]py3-bottle-pgsql-0.2-r5.apk2024-10-25 22:12 4.3K 
[   ]py3-bottle-api-pyc-0.0.4-r7.apk2024-10-25 22:12 5.2K 
[   ]py3-bottle-api-0.0.4-r7.apk2024-10-25 22:12 4.9K 
[   ]py3-bookkeeper-pyc-4.17.1-r0.apk2024-10-25 22:12 67K 
[   ]py3-bookkeeper-4.17.1-r0.apk2024-10-25 22:12 43K 
[   ]py3-blockdiag-tests-3.0.0-r5.apk2024-10-25 22:12 2.5M 
[   ]py3-blockdiag-pyc-3.0.0-r5.apk2024-10-25 22:12 151K 
[   ]py3-blockdiag-3.0.0-r5.apk2024-10-25 22:12 70K 
[   ]py3-blockchain-pyc-1.4.4-r7.apk2024-10-25 22:12 18K 
[   ]py3-blockchain-1.4.4-r7.apk2024-10-25 22:12 11K 
[   ]py3-bleak-0.22.3-r0.apk2024-10-25 22:12 370K 
[   ]py3-bitstruct-pyc-8.19.0-r1.apk2024-10-25 22:12 13K 
[   ]py3-bitstruct-8.19.0-r1.apk2024-10-25 22:12 35K 
[   ]py3-bite-parser-pyc-0.2.5-r0.apk2024-10-28 22:51 23K 
[   ]py3-bite-parser-0.2.5-r0.apk2024-10-28 22:51 14K 
[   ]py3-bidict-pyc-0.23.1-r1.apk2024-10-25 22:12 29K 
[   ]py3-bidict-0.23.1-r1.apk2024-10-25 22:12 28K 
[   ]py3-bencode-pyc-4.0.0-r1.apk2024-10-25 22:12 10K 
[   ]py3-bencode-4.0.0-r1.apk2024-10-25 22:12 17K 
[   ]py3-beartype-pyc-0.19.0-r0.apk2024-10-25 22:12 596K 
[   ]py3-beartype-0.19.0-r0.apk2024-10-25 22:12 827K 
[   ]py3-base58-2.1.1-r2.apk2024-10-25 22:12 11K 
[   ]py3-barcodenumber-pyc-0.2.1-r10.apk2024-10-25 22:12 4.3K 
[   ]py3-barcodenumber-0.2.1-r10.apk2024-10-25 22:12 16K 
[   ]py3-bandwidth-sdk-pyc-3.1.0-r8.apk2024-10-25 22:12 69K 
[   ]py3-bandwidth-sdk-3.1.0-r8.apk2024-10-25 22:12 46K 
[   ]py3-banal-pyc-1.0.6-r4.apk2024-10-25 22:12 7.2K 
[   ]py3-banal-1.0.6-r4.apk2024-10-25 22:12 6.9K 
[   ]py3-backoff-pyc-2.2.1-r0.apk2024-10-25 22:12 14K 
[   ]py3-backoff-doc-2.2.1-r0.apk2024-10-25 22:12 2.2K 
[   ]py3-backoff-2.2.1-r0.apk2024-10-25 22:12 14K 
[   ]py3-avro-pyc-1.11.3-r1.apk2024-10-25 22:12 191K 
[   ]py3-avro-1.11.3-r1.apk2024-10-25 22:12 98K 
[   ]py3-asysocks-pyc-0.2.13-r0.apk2024-10-25 22:12 232K 
[   ]py3-asysocks-0.2.13-r0.apk2024-10-25 22:12 87K 
[   ]py3-async-lru-pyc-2.0.4-r1.apk2024-10-25 22:12 8.6K 
[   ]py3-async-lru-2.0.4-r1.apk2024-10-25 22:12 7.3K 
[   ]py3-asyauth-pyc-0.0.21-r0.apk2024-10-25 22:12 171K 
[   ]py3-asyauth-0.0.21-r0.apk2024-10-25 22:12 79K 
[   ]py3-astral-pyc-3.2-r3.apk2024-10-25 22:12 59K 
[   ]py3-astral-3.2-r3.apk2024-10-25 22:12 37K 
[   ]py3-ask-pyc-0.0.8-r8.apk2024-10-25 22:12 4.5K 
[   ]py3-ask-0.0.8-r8.apk2024-10-25 22:12 5.0K 
[   ]py3-asif-pyc-0.3.2-r3.apk2024-10-25 22:12 26K 
[   ]py3-asif-0.3.2-r3.apk2024-10-25 22:12 13K 
[   ]py3-arcus-5.3.0-r1.apk2024-10-25 22:12 86K 
[   ]py3-apsw-pyc-3.46.1.0-r0.apk2024-10-25 22:12 321K 
[   ]py3-apsw-3.46.1.0-r0.apk2024-10-25 22:12 331K 
[   ]py3-apio-pyc-0.9.5-r0.apk2024-10-25 22:12 77K 
[   ]py3-apio-0.9.5-r0.apk2024-10-25 22:12 72K 
[   ]py3-apicula-pyc-0.11.1-r1.apk2024-10-25 22:12 179K 
[   ]py3-apicula-0.11.1-r1.apk2024-10-25 22:12 8.5M 
[   ]py3-anyascii-pyc-0.3.2-r1.apk2024-10-25 22:12 3.3K 
[   ]py3-anyascii-0.3.2-r1.apk2024-10-25 22:12 275K 
[   ]py3-ansi2html-pyc-1.9.2-r0.apk2024-10-25 22:12 22K 
[   ]py3-ansi2html-1.9.2-r0.apk2024-10-25 22:12 18K 
[   ]py3-altgraph-pyc-0.17.4-r1.apk2024-10-25 22:12 29K 
[   ]py3-altgraph-0.17.4-r1.apk2024-10-25 22:12 21K 
[   ]py3-allfiles-pyc-1.0-r8.apk2024-10-25 22:12 3.3K 
[   ]py3-allfiles-1.0-r8.apk2024-10-25 22:12 3.6K 
[   ]py3-aioxmpp-pyc-0.13.3-r3.apk2024-10-25 22:12 673K 
[   ]py3-aioxmpp-doc-0.13.3-r3.apk2024-10-25 22:12 18K 
[   ]py3-aioxmpp-0.13.3-r3.apk2024-10-25 22:12 388K 
[   ]py3-aiowinreg-pyc-0.0.12-r0.apk2024-10-25 22:12 45K 
[   ]py3-aiowinreg-0.0.12-r0.apk2024-10-25 22:12 23K 
[   ]py3-aiosmb-pyc-0.4.11-r0.apk2024-10-25 22:12 1.1M 
[   ]py3-aiosmb-0.4.11-r0.apk2024-10-25 22:12 605K 
[   ]py3-aiosasl-pyc-0.5.0-r4.apk2024-10-25 22:12 24K 
[   ]py3-aiosasl-doc-0.5.0-r4.apk2024-10-25 22:12 16K 
[   ]py3-aiosasl-0.5.0-r4.apk2024-10-25 22:12 30K 
[   ]py3-aioopenssl-pyc-0.6.0-r4.apk2024-10-25 22:12 19K 
[   ]py3-aioopenssl-0.6.0-r4.apk2024-10-25 22:12 21K 
[   ]py3-aioitertools-pyc-0.11.0-r0.apk2024-10-25 22:12 52K 
[   ]py3-aioitertools-0.11.0-r0.apk2024-10-25 22:12 21K 
[   ]py3-aiohttp-session-pyc-2.12.1-r0.apk2024-10-25 22:12 15K 
[   ]py3-aiohttp-session-2.12.1-r0.apk2024-10-25 22:12 10K 
[   ]py3-aiohttp-remotes-pyc-1.3.0-r0.apk2024-11-04 13:28 19K 
[   ]py3-aiohttp-remotes-1.3.0-r0.apk2024-11-04 13:28 10K 
[   ]py3-aiohttp-jinja2-pyc-1.6-r2.apk2024-10-25 22:12 9.2K 
[   ]py3-aiohttp-jinja2-1.6-r2.apk2024-10-25 22:12 12K 
[   ]py3-aiohttp-debugtoolbar-pyc-0.6.1-r2.apk2024-10-25 22:12 51K 
[   ]py3-aiohttp-debugtoolbar-0.6.1-r2.apk2024-10-25 22:12 446K 
[   ]py3-aiodocker-pyc-0.21.0-r1.apk2024-10-25 22:12 60K 
[   ]py3-aiodocker-0.21.0-r1.apk2024-10-25 22:12 29K 
[   ]py3-agithub-pyc-2.2.2-r6.apk2024-10-25 22:12 22K 
[   ]py3-agithub-2.2.2-r6.apk2024-10-25 22:12 19K 
[   ]py3-aesedb-pyc-0.1.6-r2.apk2024-10-25 22:12 76K 
[   ]py3-aesedb-0.1.6-r2.apk2024-10-25 22:12 37K 
[   ]py3-actdiag-pyc-3.0.0-r5.apk2024-10-25 22:12 21K 
[   ]py3-actdiag-3.0.0-r5.apk2024-10-25 22:12 17K 
[   ]pxmenu-1.0.0-r1.apk2024-10-25 22:12 2.9K 
[   ]pxalarm-3.0.0-r0.apk2024-10-25 22:12 2.9K 
[   ]pwauth-doc-2.3.11-r2.apk2024-10-25 22:12 6.8K 
[   ]pwauth-2.3.11-r2.apk2024-10-25 22:12 4.1K 
[   ]pw-volume-0.5.0-r1.apk2024-10-25 22:12 329K 
[   ]purple-hangouts-0_git20200422-r0.apk2024-10-25 22:12 228K 
[   ]purple-facebook-0.9.6-r0.apk2024-10-25 22:12 88K 
[   ]pulseview-doc-0.4.2-r8.apk2024-10-25 22:12 3.7K 
[   ]pulseview-0.4.2-r8.apk2024-10-25 22:12 1.0M 
[   ]pulsar-client-cpp-dev-3.1.2-r4.apk2024-10-25 22:12 55K 
[   ]pulsar-client-cpp-3.1.2-r4.apk2024-10-25 22:12 1.4M 
[   ]pully-openrc-1.0.0-r0.apk2024-10-25 22:12 1.7K 
[   ]pully-1.0.0-r0.apk2024-10-25 22:12 2.5K 
[   ]ptyxis-lang-47.4-r0.apk2024-11-08 01:20 228K 
[   ]ptyxis-doc-47.4-r0.apk2024-11-08 01:20 2.9K 
[   ]ptyxis-47.4-r0.apk2024-11-08 01:20 253K 
[   ]ptylie-doc-0.2-r1.apk2024-10-25 22:12 3.2K 
[   ]ptylie-0.2-r1.apk2024-10-25 22:12 12K 
[   ]ptpd-openrc-2.3.1-r1.apk2024-10-25 22:12 2.4K 
[   ]ptpd-doc-2.3.1-r1.apk2024-10-25 22:12 20K 
[   ]ptpd-2.3.1-r1.apk2024-10-25 22:12 180K 
[   ]psst-0_git20240526-r1.apk2024-10-25 22:12 7.4M 
[   ]pspp-doc-2.0.1-r0.apk2024-10-25 22:12 9.0K 
[   ]pspp-dbg-2.0.1-r0.apk2024-10-25 22:12 3.9M 
[   ]pspp-2.0.1-r0.apk2024-10-25 22:12 9.4M 
[   ]psi-plus-plugins-1.5.1965-r0.apk2024-10-25 22:12 1.8M 
[   ]psi-plus-1.5.1965-r0.apk2024-10-25 22:12 8.9M 
[   ]psi-notify-1.3.1-r0.apk2024-10-25 22:12 12K 
[   ]psftools-doc-1.1.2-r0.apk2024-10-25 22:12 60K 
[   ]psftools-dev-1.1.2-r0.apk2024-10-25 22:12 43K 
[   ]psftools-1.1.2-r0.apk2024-10-25 22:12 190K 
[   ]protoconf-0.1.7-r8.apk2024-10-25 22:12 7.4M 
[   ]protoc-gen-go-1.35.1-r0.apk2024-10-25 22:12 1.9M 
[   ]prosody-modules-0.11_hg20201208-r0.apk2024-10-25 22:12 1.5K 
[   ]prosody-mod-webpresence-0.11_hg20201208-r0.apk2024-10-25 22:12 2.6K 
[   ]prosody-mod-vcard_muc-0.11_hg20201208-r0.apk2024-10-25 22:12 2.7K 
[   ]prosody-mod-support_contact-0.11_hg20201208-r0.apk2024-10-25 22:12 2.0K 
[   ]prosody-mod-stanza_counter-0.11_hg20201208-r0.apk2024-10-25 22:12 2.0K 
[   ]prosody-mod-smacks-0.11_hg20201208-r0.apk2024-10-25 22:12 8.6K 
[   ]prosody-mod-server_status-0.11_hg20201208-r0.apk2024-10-25 22:12 2.8K 
[   ]prosody-mod-saslname-0.11_hg20201208-r0.apk2024-10-25 22:12 1.6K 
[   ]prosody-mod-s2s_idle_timeout-0.11_hg20201208-r0.apk2024-10-25 22:12 2.0K 
[   ]prosody-mod-require_otr-0.11_hg20201208-r0.apk2024-10-25 22:12 1.7K 
[   ]prosody-mod-reload_modules-0.11_hg20201208-r0.apk2024-10-25 22:12 2.0K 
[   ]prosody-mod-register_redirect-0.11_hg20201208-r0.apk2024-10-25 22:12 2.7K 
[   ]prosody-mod-register_json-0.11_hg20201208-r0.apk2024-10-25 22:12 104K 
[   ]prosody-mod-pastebin-0.11_hg20201208-r0.apk2024-10-25 22:12 3.7K 
[   ]prosody-mod-muc_cloud_notify-0.11_hg20201208-r0.apk2024-10-25 22:12 6.9K 
[   ]prosody-mod-mam_muc-0.11_hg20201208-r0.apk2024-10-25 22:12 5.6K 
[   ]prosody-mod-mam-0.11_hg20201208-r0.apk2024-10-25 22:12 5.9K 
[   ]prosody-mod-log_slow_events-0.11_hg20201208-r0.apk2024-10-25 22:12 2.2K 
[   ]prosody-mod-log_auth-0.11_hg20201208-r0.apk2024-10-25 22:12 1.8K 
[   ]prosody-mod-ipcheck-0.11_hg20201208-r0.apk2024-10-25 22:12 2.0K 
[   ]prosody-mod-http_upload_external-0.11_hg20201208-r0.apk2024-10-25 22:12 2.9K 
[   ]prosody-mod-host_guard-0.11_hg20201208-r0.apk2024-10-25 22:12 2.8K 
[   ]prosody-mod-conversejs-0.11_hg20201208-r0.apk2024-10-25 22:12 3.3K 
[   ]prosody-mod-cloud_notify-0.11_hg20201208-r0.apk2024-10-25 22:12 7.2K 
[   ]prosody-mod-c2s_conn_throttle-0.11_hg20201208-r0.apk2024-10-25 22:12 2.0K 
[   ]prosody-mod-broadcast-0.11_hg20201208-r0.apk2024-10-25 22:12 1.8K 
[   ]prosody-mod-bookmarks-0.11_hg20201208-r0.apk2024-10-25 22:12 3.1K 
[   ]prosody-mod-block_registrations-0.11_hg20201208-r0.apk2024-10-25 22:12 1.8K 
[   ]prosody-mod-auth_sql-0.11_hg20201208-r0.apk2024-10-25 22:12 2.6K 
[   ]prosody-mod-auth_pam-0.11_hg20201208-r0.apk2024-10-25 22:12 1.8K 
[   ]prosody-mod-auth_ldap-0.11_hg20201208-r0.apk2024-10-25 22:12 2.8K 
[   ]proot-static-5.4.0-r1.apk2024-10-25 22:12 117K 
[   ]proot-doc-5.4.0-r1.apk2024-10-25 22:12 10K 
[   ]proot-5.4.0-r1.apk2024-10-25 22:12 79K 
[   ]prometheus-unbound-exporter-openrc-0.4.6-r0.apk2024-10-25 22:12 2.0K 
[   ]prometheus-unbound-exporter-0.4.6-r0.apk2024-10-25 22:12 3.4M 
[   ]prometheus-smokeping-prober-openrc-0.7.1-r7.apk2024-10-25 22:12 2.0K 
[   ]prometheus-smokeping-prober-0.7.1-r7.apk2024-10-25 22:12 4.3M 
[   ]prometheus-smartctl-exporter-openrc-0.12.0-r6.apk2024-11-13 15:32 1.8K 
[   ]prometheus-smartctl-exporter-0.12.0-r6.apk2024-11-13 15:32 4.2M 
[   ]prometheus-rethinkdb-exporter-openrc-1.0.1-r23.apk2024-10-25 22:12 1.7K 
[   ]prometheus-rethinkdb-exporter-1.0.1-r23.apk2024-10-25 22:12 3.9M 
[   ]prometheus-podman-exporter-1.13.3-r0.apk2024-11-20 23:26 15M 
[   ]prometheus-opnsense-exporter-openrc-0.0.5-r0.apk2024-10-25 22:12 2.1K 
[   ]prometheus-opnsense-exporter-0.0.5-r0.apk2024-10-25 22:12 4.4M 
[   ]prometheus-ipmi-exporter-openrc-1.8.0-r0.apk2024-10-25 22:12 2.0K 
[   ]prometheus-ipmi-exporter-doc-1.8.0-r0.apk2024-10-25 22:12 6.6K 
[   ]prometheus-ipmi-exporter-1.8.0-r0.apk2024-10-25 22:12 4.2M 
[   ]prometheus-bind-exporter-openrc-0.7.0-r6.apk2024-10-25 22:12 1.9K 
[   ]prometheus-bind-exporter-0.7.0-r6.apk2024-10-25 22:12 4.4M 
[   ]projectsandcastle-loader-0_git20200307-r1.apk2024-10-25 22:12 4.9K 
[   ]projectm-sdl-3.1.12-r2.apk2024-10-25 22:12 339K 
[   ]projectm-pulseaudio-doc-3.1.12-r2.apk2024-10-25 22:12 2.0K 
[   ]projectm-pulseaudio-3.1.12-r2.apk2024-10-25 22:12 434K 
[   ]projectm-presets-3.1.12-r2.apk2024-10-25 22:12 4.3M 
[   ]projectm-dev-3.1.12-r2.apk2024-10-25 22:12 605K 
[   ]projectm-3.1.12-r2.apk2024-10-25 22:12 462K 
[   ]prjtrellis-db-machxo3d-0_git20230929-r0.apk2024-10-25 22:12 748K 
[   ]prjtrellis-db-machxo3-0_git20230929-r0.apk2024-10-25 22:12 1.1M 
[   ]prjtrellis-db-machxo2-0_git20230929-r0.apk2024-10-25 22:12 1.0M 
[   ]prjtrellis-db-machxo-0_git20230929-r0.apk2024-10-25 22:12 39K 
[   ]prjtrellis-db-ecp5-0_git20230929-r0.apk2024-10-25 22:12 2.1M 
[   ]prjtrellis-db-0_git20230929-r0.apk2024-10-25 22:12 3.3K 
[   ]prjtrellis-1.4-r2.apk2024-10-25 22:12 1.3M 
[   ]primesieve-libs-12.4-r0.apk2024-10-25 22:12 111K 
[   ]primesieve-doc-12.4-r0.apk2024-10-25 22:12 4.0K 
[   ]primesieve-dev-12.4-r0.apk2024-10-25 22:12 1.3M 
[   ]primesieve-12.4-r0.apk2024-10-25 22:12 52K 
[   ]primecount-libs-7.14-r0.apk2024-10-25 22:12 153K 
[   ]primecount-doc-7.14-r0.apk2024-10-25 22:12 3.8K 
[   ]primecount-dev-7.14-r0.apk2024-10-25 22:12 2.0M 
[   ]primecount-7.14-r0.apk2024-10-25 22:12 32K 
[   ]pqiv-doc-2.12-r1.apk2024-10-25 22:12 12K 
[   ]pqiv-2.12-r1.apk2024-10-25 22:12 72K 
[   ]pptpclient-doc-1.10.0-r5.apk2024-10-25 22:12 7.2K 
[   ]pptpclient-1.10.0-r5.apk2024-10-25 22:12 33K 
[   ]ppl-doc-1.2-r1.apk2024-10-25 22:12 9.1M 
[   ]ppl-dev-1.2-r1.apk2024-10-25 22:12 607K 
[   ]ppl-1.2-r1.apk2024-10-25 22:12 40K 
[   ]powersupply-0.9.0-r0.apk2024-10-25 22:12 13K 
[   ]powerstat-doc-0.04.01-r0.apk2024-10-25 22:12 4.3K 
[   ]powerstat-bash-completion-0.04.01-r0.apk2024-10-25 22:12 2.3K 
[   ]powerstat-0.04.01-r0.apk2024-10-25 22:12 20K 
[   ]powder-toy-97.0.352-r1.apk2024-10-25 22:12 848K 
[   ]pounce-openrc-3.1-r3.apk2024-10-25 22:12 2.9K 
[   ]pounce-doc-3.1-r3.apk2024-10-25 22:12 8.6K 
[   ]pounce-3.1-r3.apk2024-10-25 22:12 29K 
[   ]postgresql16-wal2json-2.6-r0.apk2024-10-25 22:12 72K 
[   ]postgresql-pgmq-1.1.1-r1.apk2024-10-25 22:12 264K 
[   ]postgresql-pg_variables-bitcode-1.2.5_git20230922-r0.apk2024-10-25 22:12 52K 
[   ]postgresql-pg_variables-1.2.5_git20230922-r0.apk2024-10-25 22:12 26K 
[   ]postgresql-pg_partman-scripts-5.0.0-r0.apk2024-10-25 22:12 7.7K 
[   ]postgresql-pg_partman-doc-5.0.0-r0.apk2024-10-25 22:12 47K 
[   ]postgresql-pg_partman-bitcode-5.0.0-r0.apk2024-10-25 22:12 22K 
[   ]postgresql-pg_partman-5.0.0-r0.apk2024-10-25 22:12 971K 
[   ]postgresql-pg_later-0.0.14-r1.apk2024-10-25 22:12 622K 
[   ]postgresql-pg_graphql-1.4.2-r0.apk2024-10-25 22:12 573K 
[   ]postgresql-age-bitcode-1.5.0-r1.apk2024-11-01 14:27 688K 
[   ]postgresql-age-1.5.0-r1.apk2024-11-01 14:27 242K 
[   ]portsmf-dev-239-r1.apk2024-10-25 22:12 20K 
[   ]portsmf-239-r1.apk2024-10-25 22:12 63K 
[   ]porla-openrc-0.40.0-r0.apk2024-10-25 22:12 2.7K 
[   ]porla-doc-0.40.0-r0.apk2024-10-25 22:12 2.2K 
[   ]porla-0.40.0-r0.apk2024-10-25 22:12 4.5M 
[   ]popeye-0.21.5-r0.apk2024-10-25 22:12 27M 
[   ]pongoos-loader-0_git20210704-r1.apk2024-10-25 22:12 2.4K 
[   ]pomo-doc-0.8.1-r18.apk2024-10-25 22:12 2.7K 
[   ]pomo-0.8.1-r18.apk2024-10-25 22:12 1.5M 
[   ]polyglot-doc-2.0.4-r1.apk2024-10-25 22:12 48K 
[   ]polyglot-2.0.4-r1.apk2024-10-25 22:12 66K 
[   ]policycoreutils-lang-3.6-r1.apk2024-10-25 22:12 105K 
[   ]policycoreutils-doc-3.6-r1.apk2024-10-25 22:12 22K 
[   ]policycoreutils-bash-completion-3.6-r1.apk2024-10-25 22:12 2.4K 
[   ]policycoreutils-3.6-r1.apk2024-10-25 22:12 53K 
[   ]pokoy-doc-0.2.5-r0.apk2024-10-25 22:12 3.0K 
[   ]pokoy-0.2.5-r0.apk2024-10-25 22:12 11K 
[   ]poke-doc-4.2-r0.apk2024-10-25 22:12 201K 
[   ]poke-4.2-r0.apk2024-10-25 22:12 1.2M 
[   ]pnmixer-lang-0.7.2-r3.apk2024-10-25 22:12 25K 
[   ]pnmixer-doc-0.7.2-r3.apk2024-10-25 22:12 2.3K 
[   ]pnmixer-0.7.2-r3.apk2024-10-25 22:12 142K 
[   ]pmccabe-doc-2.8-r1.apk2024-10-25 22:12 7.1K 
[   ]pmccabe-2.8-r1.apk2024-10-25 22:12 22K 
[   ]plzip-doc-1.11-r1.apk2024-10-25 22:12 16K 
[   ]plzip-1.11-r1.apk2024-10-25 22:12 51K 
[   ]plplot-libs-5.15.0-r2.apk2024-10-25 22:12 216K 
[   ]plplot-doc-5.15.0-r2.apk2024-10-25 22:12 311K 
[   ]plplot-dev-5.15.0-r2.apk2024-10-25 22:12 59K 
[   ]plplot-5.15.0-r2.apk2024-10-25 22:12 31K 
[   ]plots-0.7.0-r1.apk2024-11-06 10:41 516K 
[   ]plib-1.8.5-r3.apk2024-10-25 22:12 853K 
[   ]plfit-static-0.9.6-r0.apk2024-10-25 22:12 44K 
[   ]plfit-libs-0.9.6-r0.apk2024-10-25 22:12 36K 
[   ]plfit-dev-0.9.6-r0.apk2024-10-25 22:12 6.0K 
[   ]plfit-0.9.6-r0.apk2024-10-25 22:12 13K 
[   ]please-doc-0.5.5-r0.apk2024-10-25 22:12 16K 
[   ]please-0.5.5-r0.apk2024-10-25 22:12 1.0M 
[   ]platformio-core-pyc-6.1.7-r3.apk2024-10-25 22:12 552K 
[   ]platformio-core-6.1.7-r3.apk2024-10-25 22:12 263K 
[   ]planner-lang-0.14.92-r0.apk2024-10-25 22:12 827K 
[   ]planner-doc-0.14.92-r0.apk2024-10-25 22:12 2.2K 
[   ]planner-0.14.92-r0.apk2024-10-25 22:12 360K 
[   ]planarity-libs-3.0.2.0-r2.apk2024-10-25 22:12 78K 
[   ]planarity-doc-3.0.2.0-r2.apk2024-10-25 22:12 13K 
[   ]planarity-dev-3.0.2.0-r2.apk2024-10-25 22:12 19K 
[   ]planarity-3.0.2.0-r2.apk2024-10-25 22:12 9.8K 
[   ]pixiewps-doc-1.4.2-r1.apk2024-10-25 22:12 3.4K 
[   ]pixiewps-1.4.2-r1.apk2024-10-25 22:12 41K 
[   ]pixi-zsh-completion-0.24.2-r0.apk2024-10-25 22:12 10K 
[   ]pixi-fish-completion-0.24.2-r0.apk2024-10-25 22:12 10K 
[   ]pixi-doc-0.24.2-r0.apk2024-10-25 22:12 6.9K 
[   ]pixi-bash-completion-0.24.2-r0.apk2024-10-25 22:12 7.2K 
[   ]pixi-0.24.2-r0.apk2024-10-25 22:12 8.6M 
[   ]pitivi-pyc-2023.03-r1.apk2024-10-25 22:12 704K 
[   ]pitivi-lang-2023.03-r1.apk2024-10-25 22:12 680K 
[   ]pitivi-2023.03-r1.apk2024-10-25 22:12 2.2M 
[   ]pithos-pyc-1.6.1-r0.apk2024-10-25 22:12 154K 
[   ]pithos-doc-1.6.1-r0.apk2024-10-25 22:12 2.1K 
[   ]pithos-1.6.1-r0.apk2024-10-25 22:12 104K 
[   ]piping-server-openrc-0.18.0-r0.apk2024-10-25 22:12 1.8K 
[   ]piping-server-0.18.0-r0.apk2024-10-25 22:12 1.3M 
[   ]pipeline-lang-2.0.3-r0.apk2024-11-03 22:36 49K 
[   ]pipeline-doc-2.0.3-r0.apk2024-11-03 22:36 14K 
[   ]pipeline-2.0.3-r0.apk2024-11-03 22:36 1.7M 
[   ]pipectl-doc-0.4.1-r1.apk2024-10-25 22:12 3.0K 
[   ]pipectl-0.4.1-r1.apk2024-10-25 22:12 6.4K 
[   ]pinentry-bemenu-0.13.1-r0.apk2024-10-25 22:12 8.6K 
[   ]pimd-openrc-3.0_git20220201-r0.apk2024-10-25 22:12 1.6K 
[   ]pimd-doc-3.0_git20220201-r0.apk2024-10-25 22:12 35K 
[   ]pimd-dense-openrc-2.1.0-r0.apk2024-10-25 22:12 1.9K 
[   ]pimd-dense-doc-2.1.0-r0.apk2024-10-25 22:12 20K 
[   ]pimd-dense-2.1.0-r0.apk2024-10-25 22:12 57K 
[   ]pimd-3.0_git20220201-r0.apk2024-10-25 22:12 91K 
[   ]piglit-0_git20241106-r0.apk2024-11-08 07:18 89M 
[   ]pidif-0.1-r1.apk2024-10-25 22:12 165K 
[   ]pict-rs-openrc-0.5.16-r1.apk2024-10-25 22:12 1.9K 
[   ]pict-rs-0.5.16-r1.apk2024-10-25 22:12 5.4M 
[   ]pick-doc-4.0.0-r0.apk2024-10-25 22:12 3.3K 
[   ]pick-4.0.0-r0.apk2024-10-25 22:12 9.7K 
[   ]phpactor-2024.06.30.0-r0.apk2024-10-25 22:12 3.8M 
[   ]php84-zip-8.4.1-r0.apk2024-11-21 12:57 33K 
[   ]php84-xsl-8.4.1-r0.apk2024-11-21 12:57 15K 
[   ]php84-xmlwriter-8.4.1-r0.apk2024-11-21 12:57 13K 
[   ]php84-xmlreader-8.4.1-r0.apk2024-11-21 12:57 16K 
[   ]php84-xml-8.4.1-r0.apk2024-11-21 12:57 26K 
[   ]php84-tokenizer-8.4.1-r0.apk2024-11-21 12:57 12K 
[   ]php84-tidy-8.4.1-r0.apk2024-11-21 12:57 21K 
[   ]php84-sysvshm-8.4.1-r0.apk2024-11-21 12:57 7.0K 
[   ]php84-sysvsem-8.4.1-r0.apk2024-11-21 12:57 5.9K 
[   ]php84-sysvmsg-8.4.1-r0.apk2024-11-21 12:57 8.4K 
[   ]php84-sqlite3-8.4.1-r0.apk2024-11-21 12:57 25K 
[   ]php84-sodium-8.4.1-r0.apk2024-11-21 12:57 29K 
[   ]php84-sockets-8.4.1-r0.apk2024-11-21 12:57 43K 
[   ]php84-soap-8.4.1-r0.apk2024-11-21 12:57 150K 
[   ]php84-snmp-8.4.1-r0.apk2024-11-21 12:57 23K 
[   ]php84-simplexml-8.4.1-r0.apk2024-11-21 12:57 24K 
[   ]php84-shmop-8.4.1-r0.apk2024-11-21 12:57 6.7K 
[   ]php84-session-8.4.1-r0.apk2024-11-21 12:57 42K 
[   ]php84-posix-8.4.1-r0.apk2024-11-21 12:57 13K 
[   ]php84-phpdbg-8.4.1-r0.apk2024-11-21 12:57 2.0M 
[   ]php84-phar-8.4.1-r0.apk2024-11-21 12:57 130K 
[   ]php84-pgsql-8.4.1-r0.apk2024-11-21 12:57 59K 
[   ]php84-pecl-zstd-0.14.0-r0.apk2024-11-06 15:44 14K 
[   ]php84-pecl-yaml-2.2.4-r0.apk2024-10-25 22:12 19K 
[   ]php84-pecl-xlswriter-1.5.8-r0.apk2024-11-11 02:44 229K 
[   ]php84-pecl-xhprof-assets-2.3.10-r1.apk2024-10-25 22:12 808K 
[   ]php84-pecl-xhprof-2.3.10-r1.apk2024-10-25 22:12 13K 
[   ]php84-pecl-xdebug-3.4.0_beta1-r0.apk2024-10-25 22:12 143K 
[   ]php84-pecl-uv-0.3.0-r0.apk2024-10-28 13:47 49K 
[   ]php84-pecl-uuid-1.2.1-r0.apk2024-10-25 22:12 6.6K 
[   ]php84-pecl-uploadprogress-doc-2.0.2-r2.apk2024-10-25 22:12 9.9K 
[   ]php84-pecl-uploadprogress-2.0.2-r2.apk2024-10-25 22:12 6.6K 
[   ]php84-pecl-ssh2-1.4.1-r1.apk2024-10-25 22:12 27K 
[   ]php84-pecl-smbclient-1.1.1-r0.apk2024-11-13 15:29 21K 
[   ]php84-pecl-redis-6.1.0-r0.apk2024-10-25 22:12 194K 
[   ]php84-pecl-rdkafka-6.0.5-r0.apk2024-11-04 13:52 37K 
[   ]php84-pecl-psr-1.2.0-r1.apk2024-10-25 22:12 17K 
[   ]php84-pecl-protobuf-4.28.3-r0.apk2024-10-25 22:12 145K 
[   ]php84-pecl-pcov-1.0.11-r1.apk2024-10-25 22:12 9.7K 
[   ]php84-pecl-opentelemetry-1.1.0-r0.apk2024-10-25 22:12 13K 
[   ]php84-pecl-oauth-2.0.9-r0.apk2024-10-25 22:12 35K 
[   ]php84-pecl-msgpack-3.0.0-r0.apk2024-10-25 22:12 27K 
[   ]php84-pecl-mongodb-1.20.0-r0.apk2024-10-25 22:12 821K 
[   ]php84-pecl-memcached-3.3.0-r0.apk2024-10-25 22:12 48K 
[   ]php84-pecl-memcache-8.2-r1.apk2024-10-25 22:12 43K 
[   ]php84-pecl-maxminddb-1.12.0-r0.apk2024-11-15 17:17 8.2K 
[   ]php84-pecl-mailparse-3.1.8-r0.apk2024-10-25 22:12 24K 
[   ]php84-pecl-lzf-1.7.0-r1.apk2024-10-25 22:12 7.3K 
[   ]php84-pecl-imap-1.0.3-r0.apk2024-11-21 12:02 33K 
[   ]php84-pecl-igbinary-3.2.16-r1.apk2024-10-25 22:12 33K 
[   ]php84-pecl-grpc-1.68.0-r0.apk2024-11-19 13:11 5.3M 
[   ]php84-pecl-excimer-1.2.2-r1.apk2024-10-25 22:12 21K 
[   ]php84-pecl-event-3.1.4-r1.apk2024-10-25 22:12 51K 
[   ]php84-pecl-ev-1.2.0-r1.apk2024-10-25 22:12 42K 
[   ]php84-pecl-decimal-1.5.0-r2.apk2024-10-25 22:12 19K 
[   ]php84-pecl-couchbase-4.2.4-r0.apk2024-10-25 22:12 5.1M 
[   ]php84-pecl-brotli-0.15.2-r0.apk2024-11-08 21:36 12K 
[   ]php84-pecl-ast-1.1.2-r1.apk2024-10-25 22:12 20K 
[   ]php84-pecl-apcu-5.1.24-r1.apk2024-10-25 22:12 57K 
[   ]php84-pear-8.4.1-r0.apk2024-11-21 12:57 338K 
[   ]php84-pdo_sqlite-8.4.1-r0.apk2024-11-21 12:57 18K 
[   ]php84-pdo_pgsql-8.4.1-r0.apk2024-11-21 12:57 24K 
[   ]php84-pdo_odbc-8.4.1-r0.apk2024-11-21 12:57 15K 
[   ]php84-pdo_mysql-8.4.1-r0.apk2024-11-21 12:57 16K 
[   ]php84-pdo_dblib-8.4.1-r0.apk2024-11-21 12:57 13K 
[   ]php84-pdo-8.4.1-r0.apk2024-11-21 12:57 47K 
[   ]php84-pcntl-8.4.1-r0.apk2024-11-21 12:57 18K 
[   ]php84-openssl-8.4.1-r0.apk2024-11-21 12:57 89K 
[   ]php84-opcache-8.4.1-r0.apk2024-11-21 12:57 519K 
[   ]php84-odbc-8.4.1-r0.apk2024-11-21 12:57 29K 
[   ]php84-mysqlnd-8.4.1-r0.apk2024-11-21 12:57 83K 
[   ]php84-mysqli-8.4.1-r0.apk2024-11-21 12:57 44K 
[   ]php84-mbstring-8.4.1-r0.apk2024-11-21 12:57 650K 
[   ]php84-litespeed-8.4.1-r0.apk2024-11-21 12:57 2.0M 
[   ]php84-ldap-8.4.1-r0.apk2024-11-21 12:57 34K 
[   ]php84-intl-8.4.1-r0.apk2024-11-21 12:57 180K 
[   ]php84-iconv-8.4.1-r0.apk2024-11-21 12:57 19K 
[   ]php84-gmp-8.4.1-r0.apk2024-11-21 12:57 22K 
[   ]php84-gettext-8.4.1-r0.apk2024-11-21 12:57 6.8K 
[   ]php84-gd-8.4.1-r0.apk2024-11-21 12:57 143K 
[   ]php84-ftp-8.4.1-r0.apk2024-11-21 12:57 25K 
[   ]php84-fpm-8.4.1-r0.apk2024-11-21 12:57 2.0M 
[   ]php84-fileinfo-8.4.1-r0.apk2024-11-21 12:57 457K 
[   ]php84-ffi-8.4.1-r0.apk2024-11-21 12:57 71K 
[   ]php84-exif-8.4.1-r0.apk2024-11-21 12:57 30K 
[   ]php84-enchant-8.4.1-r0.apk2024-11-21 12:57 9.8K 
[   ]php84-embed-8.4.1-r0.apk2024-11-21 12:57 2.0M 
[   ]php84-dom-8.4.1-r0.apk2024-11-21 12:57 1.0M 
[   ]php84-doc-8.4.1-r0.apk2024-11-21 12:57 68K 
[   ]php84-dev-8.4.1-r0.apk2024-11-21 12:57 1.0M 
[   ]php84-dbg-8.4.1-r0.apk2024-11-21 12:57 47M 
[   ]php84-dba-8.4.1-r0.apk2024-11-21 12:56 27K 
[   ]php84-curl-8.4.1-r0.apk2024-11-21 12:56 45K 
[   ]php84-ctype-8.4.1-r0.apk2024-11-21 12:56 5.1K 
[   ]php84-common-8.4.1-r0.apk2024-11-21 12:56 24K 
[   ]php84-cgi-8.4.1-r0.apk2024-11-21 12:56 2.0M 
[   ]php84-calendar-8.4.1-r0.apk2024-11-21 12:56 13K 
[   ]php84-bz2-8.4.1-r0.apk2024-11-21 12:56 11K 
[   ]php84-bcmath-8.4.1-r0.apk2024-11-21 12:56 28K 
[   ]php84-apache2-8.4.1-r0.apk2024-11-21 12:56 2.0M 
[   ]php84-8.4.1-r0.apk2024-11-21 12:56 2.0M 
[   ]php83-pecl-zmq-1.1.4-r0.apk2024-10-25 22:12 32K 
[   ]php83-pecl-vld-0.18.0-r1.apk2024-10-25 22:12 15K 
[   ]php83-pecl-uv-0.3.0-r0.apk2024-10-25 22:12 49K 
[   ]php83-pecl-phpy-1.0.8-r0.apk2024-10-25 22:12 42K 
[   ]php83-pecl-oauth-2.0.9-r0.apk2024-10-25 22:12 35K 
[   ]php83-pecl-jsmin-3.0.0-r0.apk2024-10-25 22:12 11K 
[   ]php83-pecl-excimer-1.2.2-r0.apk2024-10-25 22:12 21K 
[   ]php83-pecl-ev-1.2.0-r0.apk2024-10-25 22:12 42K 
[   ]php83-pecl-eio-3.1.3-r0.apk2024-10-25 22:12 29K 
[   ]php83-pecl-apfd-1.0.3-r0.apk2024-10-25 22:12 4.6K 
[   ]php82-snappy-0.2.1-r1.apk2024-10-25 22:12 5.4K 
[   ]php82-pecl-zephir_parser-1.6.1-r0.apk2024-10-25 22:12 57K 
[   ]php82-pecl-vld-0.18.0-r0.apk2024-10-25 22:12 17K 
[   ]php82-pecl-teds-1.3.0-r0.apk2024-10-25 22:12 126K 
[   ]php82-pecl-runkit7-4.0.0_alpha6-r1.apk2024-10-25 22:12 30K 
[   ]php82-pecl-oauth-2.0.9-r0.apk2024-10-25 22:12 35K 
[   ]php82-pecl-jsmin-3.0.0-r0.apk2024-10-25 22:12 11K 
[   ]php82-pecl-immutable_cache-6.1.0-r0.apk2024-10-25 22:12 42K 
[   ]php82-pecl-excimer-1.2.2-r0.apk2024-10-25 22:12 21K 
[   ]php82-pecl-apfd-1.0.3-r0.apk2024-10-25 22:12 4.6K 
[   ]php81-zip-8.1.31-r0.apk2024-11-20 02:22 28K 
[   ]php81-xsl-8.1.31-r0.apk2024-11-20 02:22 14K 
[   ]php81-xmlwriter-8.1.31-r0.apk2024-11-20 02:22 12K 
[   ]php81-xmlreader-8.1.31-r0.apk2024-11-20 02:22 14K 
[   ]php81-xml-8.1.31-r0.apk2024-11-20 02:22 20K 
[   ]php81-tokenizer-8.1.31-r0.apk2024-11-20 02:22 12K 
[   ]php81-tidy-8.1.31-r0.apk2024-11-20 02:22 20K 
[   ]php81-tideways_xhprof-5.0.4-r1.apk2024-10-25 22:12 14K 
[   ]php81-sysvshm-8.1.31-r0.apk2024-11-20 02:22 6.9K 
[   ]php81-sysvsem-8.1.31-r0.apk2024-11-20 02:22 6.0K 
[   ]php81-sysvmsg-8.1.31-r0.apk2024-11-20 02:22 8.1K 
[   ]php81-sqlite3-8.1.31-r0.apk2024-11-20 02:22 22K 
[   ]php81-sodium-8.1.31-r0.apk2024-11-20 02:22 27K 
[   ]php81-sockets-8.1.31-r0.apk2024-11-20 02:22 38K 
[   ]php81-soap-8.1.31-r0.apk2024-11-20 02:22 145K 
[   ]php81-snmp-8.1.31-r0.apk2024-11-20 02:22 22K 
[   ]php81-simplexml-8.1.31-r0.apk2024-11-20 02:22 25K 
[   ]php81-shmop-8.1.31-r0.apk2024-11-20 02:22 6.3K 
[   ]php81-session-8.1.31-r0.apk2024-11-20 02:22 39K 
[   ]php81-pspell-8.1.31-r0.apk2024-11-20 02:22 8.4K 
[   ]php81-posix-8.1.31-r0.apk2024-11-20 02:22 12K 
[   ]php81-phpdbg-8.1.31-r0.apk2024-11-20 02:22 1.9M 
[   ]php81-phar-8.1.31-r0.apk2024-11-20 02:22 130K 
[   ]php81-pgsql-8.1.31-r0.apk2024-11-20 02:22 48K 
[   ]php81-pecl-zstd-0.14.0-r0.apk2024-11-06 15:44 14K 
[   ]php81-pecl-zephir_parser-1.6.1-r0.apk2024-10-25 22:12 57K 
[   ]php81-pecl-yaml-2.2.4-r0.apk2024-10-25 22:12 19K 
[   ]php81-pecl-xmlrpc-1.0.0_rc3-r2.apk2024-10-25 22:12 37K 
[   ]php81-pecl-xlswriter-1.5.8-r0.apk2024-11-11 02:44 229K 
[   ]php81-pecl-xhprof-assets-2.3.10-r0.apk2024-10-25 22:12 801K 
[   ]php81-pecl-xhprof-2.3.10-r0.apk2024-10-25 22:12 13K 
[   ]php81-pecl-xdebug-3.4.0_beta1-r0.apk2024-10-25 22:12 143K 
[   ]php81-pecl-uuid-1.2.1-r0.apk2024-10-25 22:12 6.5K 
[   ]php81-pecl-uploadprogress-doc-2.0.2-r1.apk2024-10-25 22:12 9.9K 
[   ]php81-pecl-uploadprogress-2.0.2-r1.apk2024-10-25 22:12 6.7K 
[   ]php81-pecl-timezonedb-2024.2-r0.apk2024-10-25 22:12 189K 
[   ]php81-pecl-ssh2-1.4.1-r0.apk2024-10-25 22:12 27K 
[   ]php81-pecl-smbclient-1.1.1-r2.apk2024-11-13 15:15 21K 
[   ]php81-pecl-rdkafka-6.0.5-r0.apk2024-11-04 13:52 37K 
[   ]php81-pecl-psr-1.2.0-r0.apk2024-10-25 22:12 17K 
[   ]php81-pecl-protobuf-4.28.3-r0.apk2024-10-25 22:12 144K 
[   ]php81-pecl-pcov-1.0.11-r0.apk2024-10-25 22:12 9.6K 
[   ]php81-pecl-opentelemetry-1.1.0-r0.apk2024-10-25 22:12 13K 
[   ]php81-pecl-oauth-2.0.9-r0.apk2024-10-25 22:12 35K 
[   ]php81-pecl-mongodb-1.19.4-r0.apk2024-10-25 22:12 801K 
[   ]php81-pecl-memcache-8.2-r1.apk2024-10-25 22:12 43K 
[   ]php81-pecl-mcrypt-1.0.7-r0.apk2024-10-25 22:12 15K 
[   ]php81-pecl-maxminddb-1.12.0-r0.apk2024-11-15 17:17 8.2K 
[   ]php81-pecl-mailparse-3.1.8-r0.apk2024-10-25 22:12 24K 
[   ]php81-pecl-lzf-1.7.0-r0.apk2024-10-25 22:12 7.4K 
[   ]php81-pecl-luasandbox-4.1.2-r0.apk2024-10-25 22:12 31K 
[   ]php81-pecl-jsmin-3.0.0-r0.apk2024-10-25 22:12 11K 
[   ]php81-pecl-immutable_cache-6.1.0-r0.apk2024-10-25 22:12 42K 
[   ]php81-pecl-igbinary-3.2.16-r0.apk2024-10-25 22:12 33K 
[   ]php81-pecl-grpc-1.68.0-r0.apk2024-11-19 13:11 5.3M 
[   ]php81-pecl-event-3.1.4-r0.apk2024-10-25 22:12 51K 
[   ]php81-pecl-ds-1.5.0-r0.apk2024-10-25 22:12 62K 
[   ]php81-pecl-decimal-1.5.0-r1.apk2024-10-25 22:12 19K 
[   ]php81-pecl-csv-0.4.2-r0.apk2024-10-25 22:12 11K 
[   ]php81-pecl-couchbase-4.2.4-r0.apk2024-10-25 22:12 5.1M 
[   ]php81-pecl-brotli-0.15.2-r0.apk2024-11-08 21:36 12K 
[   ]php81-pecl-ast-1.1.2-r0.apk2024-10-25 22:12 19K 
[   ]php81-pecl-apcu-5.1.24-r0.apk2024-10-25 22:12 56K 
[   ]php81-pecl-amqp-2.1.2-r0.apk2024-10-25 22:12 51K 
[   ]php81-pear-8.1.31-r0.apk2024-11-20 02:22 338K 
[   ]php81-pdo_sqlite-8.1.31-r0.apk2024-11-20 02:22 14K 
[   ]php81-pdo_pgsql-8.1.31-r0.apk2024-11-20 02:22 21K 
[   ]php81-pdo_odbc-8.1.31-r0.apk2024-11-20 02:22 13K 
[   ]php81-pdo_mysql-8.1.31-r0.apk2024-11-20 02:22 14K 
[   ]php81-pdo_dblib-8.1.31-r0.apk2024-11-20 02:22 13K 
[   ]php81-pdo-8.1.31-r0.apk2024-11-20 02:22 44K 
[   ]php81-pcntl-8.1.31-r0.apk2024-11-20 02:22 14K 
[   ]php81-openssl-8.1.31-r0.apk2024-11-20 02:22 80K 
[   ]php81-opcache-8.1.31-r0.apk2024-11-20 02:22 350K 
[   ]php81-odbc-8.1.31-r0.apk2024-11-20 02:22 25K 
[   ]php81-mysqlnd-8.1.31-r0.apk2024-11-20 02:22 84K 
[   ]php81-mysqli-8.1.31-r0.apk2024-11-20 02:22 42K 
[   ]php81-mbstring-8.1.31-r0.apk2024-11-20 02:22 575K 
[   ]php81-litespeed-8.1.31-r0.apk2024-11-20 02:22 1.8M 
[   ]php81-ldap-8.1.31-r0.apk2024-11-20 02:22 34K 
[   ]php81-intl-8.1.31-r0.apk2024-11-20 02:22 150K 
[   ]php81-imap-8.1.31-r0.apk2024-11-20 02:22 35K 
[   ]php81-iconv-8.1.31-r0.apk2024-11-20 02:22 18K 
[   ]php81-gmp-8.1.31-r0.apk2024-11-20 02:22 21K 
[   ]php81-gettext-8.1.31-r0.apk2024-11-20 02:22 6.2K 
[   ]php81-gd-8.1.31-r0.apk2024-11-20 02:22 135K 
[   ]php81-ftp-8.1.31-r0.apk2024-11-20 02:22 25K 
[   ]php81-fpm-8.1.31-r0.apk2024-11-20 02:22 1.9M 
[   ]php81-fileinfo-8.1.31-r0.apk2024-11-20 02:22 384K 
[   ]php81-ffi-8.1.31-r0.apk2024-11-20 02:22 69K 
[   ]php81-exif-8.1.31-r0.apk2024-11-20 02:22 30K 
[   ]php81-enchant-8.1.31-r0.apk2024-11-20 02:22 8.8K 
[   ]php81-embed-8.1.31-r0.apk2024-11-20 02:22 1.8M 
[   ]php81-dom-8.1.31-r0.apk2024-11-20 02:22 65K 
[   ]php81-doc-8.1.31-r0.apk2024-11-20 02:22 68K 
[   ]php81-dev-8.1.31-r0.apk2024-11-20 02:22 939K 
[   ]php81-dba-8.1.31-r0.apk2024-11-20 02:22 25K 
[   ]php81-curl-8.1.31-r0.apk2024-11-20 02:22 38K 
[   ]php81-ctype-8.1.31-r0.apk2024-11-20 02:22 5.1K 
[   ]php81-common-8.1.31-r0.apk2024-11-20 02:22 25K 
[   ]php81-cgi-8.1.31-r0.apk2024-11-20 02:22 1.8M 
[   ]php81-calendar-8.1.31-r0.apk2024-11-20 02:22 13K 
[   ]php81-bz2-8.1.31-r0.apk2024-11-20 02:22 11K 
[   ]php81-bcmath-8.1.31-r0.apk2024-11-20 02:22 17K 
[   ]php81-apache2-8.1.31-r0.apk2024-11-20 02:22 1.8M 
[   ]php81-8.1.31-r0.apk2024-11-20 02:22 1.8M 
[   ]phoronix-test-suite-doc-10.8.4-r2.apk2024-10-25 22:12 287K 
[   ]phoronix-test-suite-bash-completion-10.8.4-r2.apk2024-10-25 22:12 1.8K 
[   ]phoronix-test-suite-10.8.4-r2.apk2024-10-25 22:12 3.9M 
[   ]pgcat-openrc-0.2.1-r0.apk2024-10-25 22:12 1.9K 
[   ]pgcat-0.2.1-r0.apk2024-10-25 22:12 2.6M 
[   ]pfetch-doc-1.6.0-r0.apk2024-11-02 10:58 5.4K 
[   ]pfetch-1.6.0-r0.apk2024-11-02 10:58 23K 
[   ]pest-language-server-0.3.9-r0.apk2024-10-25 22:12 1.1M 
[   ]persistent-cache-cpp-doc-1.0.7-r2.apk2024-10-25 22:12 3.2K 
[   ]persistent-cache-cpp-dev-1.0.7-r2.apk2024-10-25 22:12 18K 
[   ]persistent-cache-cpp-1.0.7-r2.apk2024-10-25 22:12 52K 
[   ]perl-xml-stream-doc-1.24-r0.apk2024-10-25 22:12 18K 
[   ]perl-xml-stream-1.24-r0.apk2024-10-25 22:12 44K 
[   ]perl-xml-rpc-doc-2.1-r0.apk2024-10-25 22:12 4.9K 
[   ]perl-xml-rpc-2.1-r0.apk2024-10-25 22:12 5.7K 
[   ]perl-xml-parser-style-easytree-doc-0.09-r0.apk2024-10-25 22:12 5.4K 
[   ]perl-xml-parser-style-easytree-0.09-r0.apk2024-10-25 22:12 5.0K 
[   ]perl-xml-feed-doc-0.65-r0.apk2024-10-25 22:12 12K 
[   ]perl-xml-feed-0.65-r0.apk2024-10-25 22:12 14K 
[   ]perl-xml-bare-doc-0.53-r13.apk2024-10-25 22:12 11K 
[   ]perl-xml-bare-0.53-r13.apk2024-10-25 22:12 29K 
[   ]perl-xml-atom-doc-0.43-r0.apk2024-10-25 22:12 16K 
[   ]perl-xml-atom-0.43-r0.apk2024-10-25 22:12 20K 
[   ]perl-x-tiny-doc-0.22-r0.apk2024-10-25 22:12 7.6K 
[   ]perl-x-tiny-0.22-r0.apk2024-10-25 22:12 6.9K 
[   ]perl-variable-disposition-doc-0.005-r0.apk2024-10-25 22:12 5.7K 
[   ]perl-variable-disposition-0.005-r0.apk2024-10-25 22:12 3.3K 
[   ]perl-url-encode-doc-0.03-r4.apk2024-10-25 22:12 4.7K 
[   ]perl-url-encode-0.03-r4.apk2024-10-25 22:12 5.2K 
[   ]perl-uri-tcp-doc-2.0.0-r0.apk2024-10-25 22:12 5.0K 
[   ]perl-uri-tcp-2.0.0-r0.apk2024-10-25 22:12 2.7K 
[   ]perl-uri-redis-doc-0.02-r0.apk2024-10-25 22:12 4.6K 
[   ]perl-uri-redis-0.02-r0.apk2024-10-25 22:12 3.2K 
[   ]perl-uri-nested-doc-0.10-r0.apk2024-10-25 22:12 3.9K 
[   ]perl-uri-nested-0.10-r0.apk2024-10-25 22:12 4.0K 
[   ]perl-uri-fetch-doc-0.15-r0.apk2024-10-25 22:12 7.5K 
[   ]perl-uri-fetch-0.15-r0.apk2024-10-25 22:12 7.1K 
[   ]perl-uri-db-doc-0.22-r0.apk2024-10-25 22:12 8.4K 
[   ]perl-uri-db-0.22-r0.apk2024-10-25 22:12 12K 
[   ]perl-types-path-tiny-doc-0.006-r0.apk2024-10-25 22:12 4.1K 
[   ]perl-types-path-tiny-0.006-r0.apk2024-10-25 22:12 4.0K 
[   ]perl-time-timegm-doc-0.01-r9.apk2024-10-25 22:12 3.8K 
[   ]perl-time-timegm-0.01-r9.apk2024-10-25 22:12 6.8K 
[   ]perl-time-moment-role-timezone-doc-1.000-r0.apk2024-10-25 22:12 4.0K 
[   ]perl-time-moment-role-timezone-1.000-r0.apk2024-10-25 22:12 3.6K 
[   ]perl-time-moment-role-strptime-doc-0.001-r0.apk2024-10-25 22:12 3.4K 
[   ]perl-time-moment-role-strptime-0.001-r0.apk2024-10-25 22:12 2.7K 
[   ]perl-time-moment-doc-0.44-r0.apk2024-10-25 22:12 31K 
[   ]perl-time-moment-0.44-r0.apk2024-10-25 22:12 47K 
[   ]perl-tickit-widget-scrollbox-doc-0.12-r0.apk2024-10-25 22:12 6.5K 
[   ]perl-tickit-widget-scrollbox-0.12-r0.apk2024-10-25 22:12 8.0K 
[   ]perl-tickit-widget-menu-doc-0.16-r0.apk2024-10-25 22:12 6.9K 
[   ]perl-tickit-widget-menu-0.16-r0.apk2024-10-25 22:12 7.2K 
[   ]perl-tickit-widget-floatbox-doc-0.11-r0.apk2024-10-25 22:12 4.2K 
[   ]perl-tickit-widget-floatbox-0.11-r0.apk2024-10-25 22:12 4.7K 
[   ]perl-tickit-widget-entry-plugin-completion-doc-0.02-r0.apk2024-10-25 22:12 3.8K 
[   ]perl-tickit-widget-entry-plugin-completion-0.02-r0.apk2024-10-25 22:12 4.6K 
[   ]perl-tickit-widget-choice-doc-0.07-r0.apk2024-10-25 22:12 3.4K 
[   ]perl-tickit-widget-choice-0.07-r0.apk2024-10-25 22:12 3.9K 
[   ]perl-throwable-doc-1.001-r1.apk2024-10-25 22:12 8.0K 
[   ]perl-throwable-1.001-r1.apk2024-10-25 22:12 6.2K 
[   ]perl-text-table-sprintf-doc-0.008-r0.apk2024-10-25 22:12 5.2K 
[   ]perl-text-table-sprintf-0.008-r0.apk2024-10-25 22:12 5.4K 
[   ]perl-text-table-any-doc-0.117-r0.apk2024-10-25 22:12 6.7K 
[   ]perl-text-table-any-0.117-r0.apk2024-10-25 22:12 8.1K 
[   ]perl-text-brew-doc-0.02-r5.apk2024-10-25 22:12 4.1K 
[   ]perl-text-brew-0.02-r5.apk2024-10-25 22:12 4.5K 
[   ]perl-test2-tools-explain-doc-0.02-r0.apk2024-10-25 22:12 4.4K 
[   ]perl-test2-tools-explain-0.02-r0.apk2024-10-25 22:12 3.8K 
[   ]perl-test-utf8-doc-1.03-r0.apk2024-11-16 16:11 4.9K 
[   ]perl-test-utf8-1.03-r0.apk2024-11-16 16:11 5.6K 
[   ]perl-test-useallmodules-doc-0.17-r1.apk2024-10-25 22:12 3.9K 
[   ]perl-test-useallmodules-0.17-r1.apk2024-10-25 22:12 3.8K 
[   ]perl-test-unit-doc-0.27-r0.apk2024-10-25 22:12 48K 
[   ]perl-test-unit-0.27-r0.apk2024-10-25 22:12 37K 
[   ]perl-test-trap-doc-0.3.5-r1.apk2024-10-25 22:12 20K 
[   ]perl-test-trap-0.3.5-r1.apk2024-10-25 22:12 20K 
[   ]perl-test-toolbox-doc-0.4-r5.apk2024-10-25 22:12 6.2K 
[   ]perl-test-toolbox-0.4-r5.apk2024-10-25 22:12 9.9K 
[   ]perl-test-timer-doc-2.12-r2.apk2024-10-25 22:12 8.4K 
[   ]perl-test-timer-2.12-r2.apk2024-10-25 22:12 8.9K 
[   ]perl-test-settings-doc-0.003-r0.apk2024-10-25 22:12 6.0K 
[   ]perl-test-settings-0.003-r0.apk2024-10-25 22:12 4.9K 
[   ]perl-test-roo-doc-1.004-r3.apk2024-10-25 22:12 15K 
[   ]perl-test-roo-1.004-r3.apk2024-10-25 22:12 12K 
[   ]perl-test-requires-git-doc-1.008-r0.apk2024-10-25 22:12 4.4K 
[   ]perl-test-requires-git-1.008-r0.apk2024-10-25 22:12 4.8K 
[   ]perl-test-randomresult-doc-0.001-r0.apk2024-10-25 22:12 3.7K 
[   ]perl-test-randomresult-0.001-r0.apk2024-10-25 22:12 3.5K 
[   ]perl-test-modern-doc-0.013-r3.apk2024-10-25 22:12 9.9K 
[   ]perl-test-modern-0.013-r3.apk2024-10-25 22:12 15K 
[   ]perl-test-memorygrowth-doc-0.05-r0.apk2024-10-25 22:12 5.3K 
[   ]perl-test-memorygrowth-0.05-r0.apk2024-10-25 22:12 6.4K 
[   ]perl-test-lwp-useragent-doc-0.036-r0.apk2024-10-25 22:12 8.3K 
[   ]perl-test-lwp-useragent-0.036-r0.apk2024-10-25 22:12 9.8K 
[   ]perl-test-files-doc-0.26-r0.apk2024-10-25 22:12 15K 
[   ]perl-test-files-0.26-r0.apk2024-10-25 22:12 6.7K 
[   ]perl-test-file-doc-1.993-r1.apk2024-10-25 22:12 6.8K 
[   ]perl-test-file-1.993-r1.apk2024-10-25 22:12 12K 
[   ]perl-test-expander-doc-2.5.1-r0.apk2024-10-25 22:12 20K 
[   ]perl-test-expander-2.5.1-r0.apk2024-10-25 22:12 7.1K 
[   ]perl-test-distribution-doc-2.00-r1.apk2024-10-25 22:12 6.1K 
[   ]perl-test-distribution-2.00-r1.apk2024-10-25 22:12 7.8K 
[   ]perl-test-describeme-doc-0.004-r0.apk2024-10-25 22:12 4.2K 
[   ]perl-test-describeme-0.004-r0.apk2024-10-25 22:12 3.6K 
[   ]perl-test-class-tiny-doc-0.03-r0.apk2024-10-25 22:12 5.4K 
[   ]perl-test-class-tiny-0.03-r0.apk2024-10-25 22:12 5.9K 
[   ]perl-test-api-doc-0.010-r2.apk2024-10-25 22:12 4.3K 
[   ]perl-test-api-0.010-r2.apk2024-10-25 22:12 5.1K 
[   ]perl-term-ui-doc-0.50-r1.apk2024-10-25 22:12 8.5K 
[   ]perl-term-ui-0.50-r1.apk2024-10-25 22:12 10K 
[   ]perl-term-size-doc-0.211-r4.apk2024-10-25 22:12 3.7K 
[   ]perl-term-size-0.211-r4.apk2024-10-25 22:12 6.0K 
[   ]perl-template-plugin-number-format-doc-1.06-r4.apk2024-10-25 22:12 4.4K 
[   ]perl-template-plugin-number-format-1.06-r4.apk2024-10-25 22:12 4.9K 
[   ]perl-template-plugin-csv-doc-0.04-r3.apk2024-10-25 22:12 3.0K 
[   ]perl-template-plugin-csv-0.04-r3.apk2024-10-25 22:12 2.7K 
[   ]perl-system-command-doc-1.122-r0.apk2024-10-25 22:12 10K 
[   ]perl-system-command-1.122-r0.apk2024-10-25 22:12 12K 
[   ]perl-sys-virt-doc-10.6.0-r0.apk2024-10-25 22:12 99K 
[   ]perl-sys-virt-10.6.0-r0.apk2024-10-25 22:12 206K 
[   ]perl-sys-syscall-doc-0.25-r10.apk2024-10-25 22:12 3.7K 
[   ]perl-sys-syscall-0.25-r10.apk2024-10-25 22:12 5.3K 
[   ]perl-syntax-operator-in-doc-0.10-r0.apk2024-10-25 22:12 5.8K 
[   ]perl-syntax-operator-in-0.10-r0.apk2024-10-25 22:12 10K 
[   ]perl-syntax-operator-equ-doc-0.10-r0.apk2024-10-25 22:12 6.5K 
[   ]perl-syntax-operator-equ-0.10-r0.apk2024-10-25 22:12 8.3K 
[   ]perl-syntax-keyword-match-doc-0.15-r0.apk2024-10-25 22:12 7.8K 
[   ]perl-syntax-keyword-match-0.15-r0.apk2024-10-25 22:12 14K 
[   ]perl-string-random-doc-0.32-r2.apk2024-10-25 22:12 6.2K 
[   ]perl-string-random-0.32-r2.apk2024-10-25 22:12 7.9K 
[   ]perl-string-crc32-doc-2.100-r4.apk2024-10-25 22:12 3.4K 
[   ]perl-string-crc32-2.100-r4.apk2024-10-25 22:12 7.4K 
[   ]perl-string-compare-constanttime-doc-0.321-r6.apk2024-10-25 22:12 5.2K 
[   ]perl-string-compare-constanttime-0.321-r6.apk2024-10-25 22:12 7.5K 
[   ]perl-string-camelcase-doc-0.04-r2.apk2024-10-25 22:12 3.5K 
[   ]perl-string-camelcase-0.04-r2.apk2024-10-25 22:12 3.2K 
[   ]perl-storable-improved-doc-0.1.3-r0.apk2024-10-25 22:12 6.9K 
[   ]perl-storable-improved-0.1.3-r0.apk2024-10-25 22:12 6.7K 
[   ]perl-statistics-descriptive-doc-3.0801-r0.apk2024-10-25 22:12 38K 
[   ]perl-statistics-descriptive-3.0801-r0.apk2024-10-25 22:12 30K 
[   ]perl-statistics-basic-doc-1.6611-r0.apk2024-10-25 22:12 50K 
[   ]perl-statistics-basic-1.6611-r0.apk2024-10-25 22:12 9.2K 
[   ]perl-starman-doc-0.4017-r0.apk2024-10-25 22:12 10K 
[   ]perl-starman-0.4017-r0.apk2024-10-25 22:12 13K 
[   ]perl-sql-abstract-classic-doc-1.91-r1.apk2024-10-25 22:12 20K 
[   ]perl-sql-abstract-classic-1.91-r1.apk2024-10-25 22:12 30K 
[   ]perl-sort-versions-doc-1.62-r0.apk2024-10-25 22:12 4.1K 
[   ]perl-sort-versions-1.62-r0.apk2024-10-25 22:12 3.7K 
[   ]perl-sort-naturally-doc-1.03-r4.apk2024-10-25 22:12 5.5K 
[   ]perl-sort-naturally-1.03-r4.apk2024-10-25 22:12 8.7K 
[   ]perl-soap-lite-doc-1.27-r5.apk2024-10-25 22:12 90K 
[   ]perl-soap-lite-1.27-r5.apk2024-10-25 22:12 110K 
[   ]perl-snmp-info-doc-3.972002-r0.apk2024-10-30 07:00 379K 
[   ]perl-snmp-info-3.972002-r0.apk2024-10-30 07:00 333K 
[   ]perl-snmp-doc-5.0404-r13.apk2024-10-25 22:12 14K 
[   ]perl-snmp-5.0404-r13.apk2024-10-25 22:12 74K 
[   ]perl-signature-attribute-checked-doc-0.06-r0.apk2024-10-25 22:12 4.6K 
[   ]perl-signature-attribute-checked-0.06-r0.apk2024-10-25 22:12 8.0K 
[   ]perl-session-storage-secure-doc-1.000-r2.apk2024-10-25 22:12 7.4K 
[   ]perl-session-storage-secure-1.000-r2.apk2024-10-25 22:12 8.8K 
[   ]perl-sentinel-doc-0.07-r1.apk2024-10-25 22:12 4.2K 
[   ]perl-sentinel-0.07-r1.apk2024-10-25 22:12 7.6K 
[   ]perl-scalar-readonly-doc-0.03-r1.apk2024-10-25 22:12 3.4K 
[   ]perl-scalar-readonly-0.03-r1.apk2024-10-25 22:12 5.5K 
[   ]perl-ryu-doc-4.001-r0.apk2024-10-25 22:12 35K 
[   ]perl-ryu-async-doc-0.020-r0.apk2024-10-25 22:12 12K 
[   ]perl-ryu-async-0.020-r0.apk2024-10-25 22:12 7.5K 
[   ]perl-ryu-4.001-r0.apk2024-10-25 22:12 26K 
[   ]perl-rxperl-mojo-doc-6.8.2-r0.apk2024-10-25 22:12 9.1K 
[   ]perl-rxperl-mojo-6.8.2-r0.apk2024-10-25 22:12 2.8K 
[   ]perl-rxperl-ioasync-doc-6.9.1-r0.apk2024-10-25 22:12 9.0K 
[   ]perl-rxperl-ioasync-6.9.1-r0.apk2024-10-25 22:12 2.8K 
[   ]perl-rxperl-doc-6.29.8-r0.apk2024-10-25 22:12 23K 
[   ]perl-rxperl-anyevent-doc-6.8.1-r0.apk2024-10-25 22:12 9.0K 
[   ]perl-rxperl-anyevent-6.8.1-r0.apk2024-10-25 22:12 2.7K 
[   ]perl-rxperl-6.29.8-r0.apk2024-10-25 22:12 26K 
[   ]perl-role-eventemitter-doc-0.003-r0.apk2024-10-25 22:12 4.0K 
[   ]perl-role-eventemitter-0.003-r0.apk2024-10-25 22:12 3.7K 
[   ]perl-regexp-grammars-doc-1.058-r0.apk2024-10-25 22:12 48K 
[   ]perl-regexp-grammars-1.058-r0.apk2024-10-25 22:12 66K 
[   ]perl-ref-util-xs-doc-0.117-r8.apk2024-10-25 22:12 3.4K 
[   ]perl-ref-util-xs-0.117-r8.apk2024-10-25 22:12 11K 
[   ]perl-protocol-redis-faster-doc-0.003-r0.apk2024-10-25 22:12 3.3K 
[   ]perl-protocol-redis-faster-0.003-r0.apk2024-10-25 22:12 3.4K 
[   ]perl-protocol-redis-doc-1.0021-r0.apk2024-10-25 22:12 5.0K 
[   ]perl-protocol-redis-1.0021-r0.apk2024-10-25 22:12 5.6K 
[   ]perl-protocol-database-postgresql-doc-2.001-r0.apk2024-10-25 22:12 36K 
[   ]perl-protocol-database-postgresql-2.001-r0.apk2024-10-25 22:12 19K 
[   ]perl-promise-xs-doc-0.20-r1.apk2024-10-25 22:12 8.7K 
[   ]perl-promise-xs-0.20-r1.apk2024-10-25 22:12 26K 
[   ]perl-promise-me-doc-0.5.0-r0.apk2024-10-25 22:12 12K 
[   ]perl-promise-me-0.5.0-r0.apk2024-10-25 22:12 26K 
[   ]perl-promise-es6-mojo-ioloop-0.28-r0.apk2024-10-25 22:12 2.6K 
[   ]perl-promise-es6-io-async-0.28-r0.apk2024-10-25 22:12 3.0K 
[   ]perl-promise-es6-future-0.28-r0.apk2024-10-25 22:12 2.3K 
[   ]perl-promise-es6-doc-0.28-r0.apk2024-10-25 22:12 12K 
[   ]perl-promise-es6-anyevent-0.28-r0.apk2024-10-25 22:12 2.5K 
[   ]perl-promise-es6-0.28-r0.apk2024-10-25 22:12 11K 
[   ]perl-proc-guard-doc-0.07-r4.apk2024-10-25 22:12 3.5K 
[   ]perl-proc-guard-0.07-r4.apk2024-10-25 22:12 3.7K 
[   ]perl-ppi-xs-doc-0.910-r1.apk2024-10-25 22:12 3.4K 
[   ]perl-ppi-xs-0.910-r1.apk2024-10-25 22:12 5.9K 
[   ]perl-pod-tidy-doc-0.10-r1.apk2024-10-25 22:12 11K 
[   ]perl-pod-tidy-0.10-r1.apk2024-10-25 22:12 10K 
[   ]perl-pod-cpandoc-doc-0.16-r6.apk2024-10-25 22:12 4.9K 
[   ]perl-pod-cpandoc-0.16-r6.apk2024-10-25 22:12 4.6K 
[   ]perl-plack-middleware-reverseproxy-doc-0.16-r2.apk2024-10-25 22:12 3.1K 
[   ]perl-plack-middleware-reverseproxy-0.16-r2.apk2024-10-25 22:12 3.2K 
[   ]perl-plack-middleware-expires-doc-0.06-r3.apk2024-10-25 22:12 3.4K 
[   ]perl-plack-middleware-expires-0.06-r3.apk2024-10-25 22:12 3.9K 
[   ]perl-perlio-locale-doc-0.10-r12.apk2024-10-25 22:12 3.0K 
[   ]perl-perlio-locale-0.10-r12.apk2024-10-25 22:12 4.6K 
[   ]perl-path-iter-doc-0.2-r3.apk2024-10-25 22:12 5.2K 
[   ]perl-path-iter-0.2-r3.apk2024-10-25 22:12 5.2K 
[   ]perl-pango-doc-1.227-r11.apk2024-10-25 22:12 81K 
[   ]perl-pango-1.227-r11.apk2024-10-25 22:12 87K 
[   ]perl-opentracing-doc-1.006-r0.apk2024-10-25 22:12 33K 
[   ]perl-opentracing-1.006-r0.apk2024-10-25 22:12 18K 
[   ]perl-openapi-client-doc-1.07-r0.apk2024-10-25 22:12 7.4K 
[   ]perl-openapi-client-1.07-r0.apk2024-10-25 22:12 8.6K 
[   ]perl-object-pad-fieldattr-checked-doc-0.12-r0.apk2024-10-25 22:12 4.5K 
[   ]perl-object-pad-fieldattr-checked-0.12-r0.apk2024-10-25 22:12 8.9K 
[   ]perl-object-array-doc-0.060-r0.apk2024-10-25 22:12 7.0K 
[   ]perl-object-array-0.060-r0.apk2024-10-25 22:12 5.7K 
[   ]perl-number-tolerant-doc-1.710-r0.apk2024-10-25 22:12 26K 
[   ]perl-number-tolerant-1.710-r0.apk2024-10-25 22:12 15K 
[   ]perl-number-misc-doc-1.2-r5.apk2024-10-25 22:12 4.4K 
[   ]perl-number-misc-1.2-r5.apk2024-10-25 22:12 5.2K 
[   ]perl-number-format-doc-1.76-r1.apk2024-10-25 22:12 9.0K 
[   ]perl-number-format-1.76-r1.apk2024-10-25 22:12 15K 
[   ]perl-nice-try-doc-1.3.15-r0.apk2024-11-07 03:16 12K 
[   ]perl-nice-try-1.3.15-r0.apk2024-11-07 03:16 28K 
[   ]perl-netaddr-mac-doc-0.98-r1.apk2024-10-25 22:12 8.0K 
[   ]perl-netaddr-mac-0.98-r1.apk2024-10-25 22:12 11K 
[   ]perl-net-xmpp-doc-1.05-r0.apk2024-10-25 22:12 44K 
[   ]perl-net-xmpp-1.05-r0.apk2024-10-25 22:12 58K 
[   ]perl-net-patricia-doc-1.22-r12.apk2024-10-25 22:12 6.0K 
[   ]perl-net-patricia-1.22-r12.apk2024-10-25 22:12 22K 
[   ]perl-net-netmask-doc-2.0002-r2.apk2024-10-25 22:12 8.5K 
[   ]perl-net-netmask-2.0002-r2.apk2024-10-25 22:12 14K 
[   ]perl-net-jabber-doc-2.0-r0.apk2024-10-25 22:12 48K 
[   ]perl-net-jabber-bot-doc-2.1.7-r0.apk2024-10-25 22:12 7.6K 
[   ]perl-net-jabber-bot-2.1.7-r0.apk2024-10-25 22:12 13K 
[   ]perl-net-jabber-2.0-r0.apk2024-10-25 22:12 51K 
[   ]perl-net-irr-doc-0.10-r0.apk2024-10-25 22:12 5.2K 
[   ]perl-net-irr-0.10-r0.apk2024-10-25 22:12 5.5K 
[   ]perl-net-idn-encode-doc-2.500-r1.apk2024-10-25 22:12 22K 
[   ]perl-net-idn-encode-2.500-r1.apk2024-10-25 22:12 84K 
[   ]perl-net-curl-promiser-mojo-0.20-r0.apk2024-10-25 22:12 3.1K 
[   ]perl-net-curl-promiser-ioasync-0.20-r0.apk2024-10-25 22:12 3.0K 
[   ]perl-net-curl-promiser-doc-0.20-r0.apk2024-10-25 22:12 12K 
[   ]perl-net-curl-promiser-anyevent-0.20-r0.apk2024-10-25 22:12 2.7K 
[   ]perl-net-curl-promiser-0.20-r0.apk2024-10-25 22:12 8.9K 
[   ]perl-net-curl-doc-0.56-r1.apk2024-10-25 22:12 39K 
[   ]perl-net-curl-0.56-r1.apk2024-10-25 22:12 62K 
[   ]perl-net-async-redis-xs-doc-1.001-r1.apk2024-10-25 22:12 5.3K 
[   ]perl-net-async-redis-xs-1.001-r1.apk2024-10-25 22:12 9.8K 
[   ]perl-net-async-redis-doc-6.005-r0.apk2024-11-09 16:54 61K 
[   ]perl-net-async-redis-6.005-r0.apk2024-11-09 16:54 58K 
[   ]perl-net-amqp-rabbitmq-doc-2.40012-r0.apk2024-10-25 22:12 9.7K 
[   ]perl-net-amqp-rabbitmq-2.40012-r0.apk2024-10-25 22:12 84K 
[   ]perl-net-address-ip-local-doc-0.1.2-r0.apk2024-10-25 22:12 3.5K 
[   ]perl-net-address-ip-local-0.1.2-r0.apk2024-10-25 22:12 3.5K 
[   ]perl-musicbrainz-discid-doc-0.06-r1.apk2024-10-25 22:12 4.3K 
[   ]perl-musicbrainz-discid-0.06-r1.apk2024-10-25 22:12 9.6K 
[   ]perl-multidimensional-doc-0.014-r0.apk2024-10-25 22:12 3.1K 
[   ]perl-multidimensional-0.014-r0.apk2024-10-25 22:12 4.9K 
[   ]perl-mojolicious-plugin-openapi-doc-5.09-r0.apk2024-10-25 22:12 34K 
[   ]perl-mojolicious-plugin-openapi-5.09-r0.apk2024-10-25 22:12 29K 
[   ]perl-mojo-sqlite-doc-3.009-r0.apk2024-10-25 22:12 19K 
[   ]perl-mojo-sqlite-3.009-r0.apk2024-10-25 22:12 16K 
[   ]perl-mojo-redis-doc-3.29-r0.apk2024-10-25 22:12 25K 
[   ]perl-mojo-redis-3.29-r0.apk2024-10-25 22:12 25K 
[   ]perl-mojo-reactor-ioasync-doc-1.002-r0.apk2024-10-25 22:12 4.4K 
[   ]perl-mojo-reactor-ioasync-1.002-r0.apk2024-10-25 22:12 4.7K 
[   ]perl-module-generic-doc-0.37.7-r0.apk2024-11-01 15:30 201K 
[   ]perl-module-generic-0.37.7-r0.apk2024-11-01 15:30 253K 
[   ]perl-module-build-prereqs-fromcpanfile-doc-0.02-r0.apk2024-10-25 22:12 3.8K 
[   ]perl-module-build-prereqs-fromcpanfile-0.02-r0.apk2024-10-25 22:12 3.4K 
[   ]perl-minion-doc-10.31-r0.apk2024-10-25 22:12 49K 
[   ]perl-minion-backend-sqlite-doc-5.0.7-r0.apk2024-10-25 22:12 6.8K 
[   ]perl-minion-backend-sqlite-5.0.7-r0.apk2024-10-25 22:12 10K 
[   ]perl-minion-backend-redis-doc-0.003-r0.apk2024-10-25 22:12 6.6K 
[   ]perl-minion-backend-redis-0.003-r0.apk2024-10-25 22:12 11K 
[   ]perl-minion-backend-pg-10.31-r0.apk2024-10-25 22:12 9.6K 
[   ]perl-minion-10.31-r0.apk2024-10-25 22:12 1.5M 
[   ]perl-mce-doc-1.900-r0.apk2024-10-25 22:12 171K 
[   ]perl-mce-1.900-r0.apk2024-10-25 22:12 136K 
[   ]perl-math-random-isaac-xs-doc-1.004-r8.apk2024-10-25 22:12 3.8K 
[   ]perl-math-random-isaac-xs-1.004-r8.apk2024-10-25 22:12 8.0K 
[   ]perl-math-random-doc-0.72-r0.apk2024-10-25 22:12 11K 
[   ]perl-math-random-0.72-r0.apk2024-10-25 22:12 38K 
[   ]perl-math-libm-doc-1.00-r14.apk2024-10-25 22:12 3.1K 
[   ]perl-math-libm-1.00-r14.apk2024-10-25 22:12 11K 
[   ]perl-math-int64-doc-0.57-r1.apk2024-10-25 22:12 10K 
[   ]perl-math-int64-0.57-r1.apk2024-10-25 22:12 35K 
[   ]perl-mastodon-client-doc-0.017-r0.apk2024-10-25 22:12 33K 
[   ]perl-mastodon-client-0.017-r0.apk2024-10-25 22:12 22K 
[   ]perl-lwp-useragent-cached-doc-0.08-r1.apk2024-10-25 22:12 5.7K 
[   ]perl-lwp-useragent-cached-0.08-r1.apk2024-10-25 22:12 6.4K 
[   ]perl-lwp-online-doc-1.08-r0.apk2024-10-25 22:12 5.7K 
[   ]perl-lwp-online-1.08-r0.apk2024-10-25 22:12 6.2K 
[   ]perl-lv-doc-0.006-r0.apk2024-10-25 22:12 4.1K 
[   ]perl-lv-backend-sentinel-0.006-r0.apk2024-10-25 22:12 2.0K 
[   ]perl-lv-backend-magic-0.006-r0.apk2024-10-25 22:12 2.1K 
[   ]perl-lv-0.006-r0.apk2024-10-25 22:12 4.1K 
[   ]perl-log-message-simple-doc-0.10-r3.apk2024-10-25 22:12 4.0K 
[   ]perl-log-message-simple-0.10-r3.apk2024-10-25 22:12 4.2K 
[   ]perl-log-message-doc-0.08-r3.apk2024-10-25 22:12 12K 
[   ]perl-log-message-0.08-r3.apk2024-10-25 22:12 11K 
[   ]perl-log-fu-doc-0.31-r4.apk2024-10-25 22:12 7.3K 
[   ]perl-log-fu-0.31-r4.apk2024-10-25 22:12 11K 
[   ]perl-list-keywords-doc-0.11-r0.apk2024-10-25 22:12 5.5K 
[   ]perl-list-keywords-0.11-r0.apk2024-10-25 22:12 15K 
[   ]perl-list-binarysearch-xs-doc-0.09-r1.apk2024-10-25 22:12 8.1K 
[   ]perl-list-binarysearch-xs-0.09-r1.apk2024-10-25 22:12 13K 
[   ]perl-list-binarysearch-doc-0.25-r0.apk2024-10-25 22:12 12K 
[   ]perl-list-binarysearch-0.25-r0.apk2024-10-25 22:12 10K 
[   ]perl-linux-pid-doc-0.04-r13.apk2024-10-25 22:12 3.0K 
[   ]perl-linux-pid-0.04-r13.apk2024-10-25 22:12 4.9K 
[   ]perl-libintl-perl-doc-1.33-r1.apk2024-10-25 22:12 567K 
[   ]perl-libintl-perl-1.33-r1.apk2024-10-25 22:12 316K 
[   ]perl-libapreq2-doc-2.17-r2.apk2024-10-25 22:12 37K 
[   ]perl-libapreq2-dev-2.17-r2.apk2024-10-25 22:12 56K 
[   ]perl-libapreq2-2.17-r2.apk2024-10-25 22:12 107K 
[   ]perl-lib-abs-doc-0.95-r0.apk2024-10-25 22:12 4.0K 
[   ]perl-lib-abs-0.95-r0.apk2024-10-25 22:12 3.9K 
[   ]perl-json-validator-doc-5.14-r0.apk2024-10-25 22:12 33K 
[   ]perl-json-validator-5.14-r0.apk2024-10-25 22:12 59K 
[   ]perl-json-path-doc-1.0.6-r0.apk2024-10-25 22:12 13K 
[   ]perl-json-path-1.0.6-r0.apk2024-10-25 22:12 16K 
[   ]perl-json-maybeutf8-doc-2.000-r0.apk2024-10-25 22:12 3.6K 
[   ]perl-json-maybeutf8-2.000-r0.apk2024-10-25 22:12 3.1K 
[   ]perl-io-sessiondata-1.03-r3.apk2024-10-25 22:12 5.8K 
[   ]perl-io-lambda-doc-1.34-r0.apk2024-10-25 22:12 68K 
[   ]perl-io-lambda-1.34-r0.apk2024-10-25 22:12 75K 
[   ]perl-indirect-doc-0.39-r1.apk2024-10-25 22:12 6.4K 
[   ]perl-indirect-0.39-r1.apk2024-10-25 22:12 17K 
[   ]perl-i18n-langinfo-wide-doc-9-r4.apk2024-10-25 22:12 4.1K 
[   ]perl-i18n-langinfo-wide-9-r4.apk2024-10-25 22:12 4.2K 
[   ]perl-http-xsheaders-doc-0.400005-r1.apk2024-10-25 22:12 6.3K 
[   ]perl-http-xsheaders-0.400005-r1.apk2024-10-25 22:12 21K 
[   ]perl-http-thin-doc-0.006-r0.apk2024-10-25 22:12 3.5K 
[   ]perl-http-thin-0.006-r0.apk2024-10-25 22:12 3.1K 
[   ]perl-html-tableextract-doc-2.15-r4.apk2024-10-25 22:12 9.9K 
[   ]perl-html-tableextract-2.15-r4.apk2024-10-25 22:12 18K 
[   ]perl-html-selector-xpath-doc-0.28-r0.apk2024-10-25 22:12 4.1K 
[   ]perl-html-selector-xpath-0.28-r0.apk2024-10-25 22:12 6.2K 
[   ]perl-html-object-doc-0.5.1-r0.apk2024-10-25 22:12 472K 
[   ]perl-html-object-0.5.1-r0.apk2024-10-25 22:12 348K 
[   ]perl-hash-ordered-doc-0.014-r0.apk2024-10-25 22:12 19K 
[   ]perl-hash-ordered-0.014-r0.apk2024-10-25 22:12 9.8K 
[   ]perl-guard-doc-1.023-r9.apk2024-10-25 22:12 5.3K 
[   ]perl-guard-1.023-r9.apk2024-10-25 22:12 8.9K 
[   ]perl-gtk3-doc-0.038-r1.apk2024-10-25 22:12 9.2K 
[   ]perl-gtk3-0.038-r1.apk2024-10-25 22:12 20K 
[   ]perl-gtk2-ex-widgetbits-doc-48-r3.apk2024-10-25 22:12 81K 
[   ]perl-gtk2-ex-widgetbits-48-r3.apk2024-10-25 22:12 66K 
[   ]perl-gtk2-ex-listmodelconcat-doc-11-r4.apk2024-10-25 22:12 7.3K 
[   ]perl-gtk2-ex-listmodelconcat-11-r4.apk2024-10-25 22:12 13K 
[   ]perl-gtk2-doc-1.24993-r6.apk2024-10-25 22:12 670K 
[   ]perl-gtk2-1.24993-r6.apk2024-10-25 22:12 934K 
[   ]perl-graphql-client-doc-0.605-r0.apk2024-10-25 22:12 14K 
[   ]perl-graphql-client-cli-0.605-r0.apk2024-10-25 22:12 7.8K 
[   ]perl-graphql-client-0.605-r0.apk2024-10-25 22:12 7.1K 
[   ]perl-glib-object-introspection-doc-0.051-r1.apk2024-10-25 22:12 11K 
[   ]perl-glib-object-introspection-0.051-r1.apk2024-10-25 22:12 64K 
[   ]perl-glib-ex-objectbits-doc-17-r0.apk2024-10-25 22:12 22K 
[   ]perl-glib-ex-objectbits-17-r0.apk2024-10-25 22:12 15K 
[   ]perl-git-version-compare-doc-1.005-r0.apk2024-10-25 22:12 4.9K 
[   ]perl-git-version-compare-1.005-r0.apk2024-10-25 22:12 5.4K 
[   ]perl-git-repository-doc-1.325-r0.apk2024-10-25 22:12 32K 
[   ]perl-git-repository-1.325-r0.apk2024-10-25 22:12 16K 
[   ]perl-git-raw-doc-0.90-r1.apk2024-10-25 22:12 119K 
[   ]perl-git-raw-0.90-r1.apk2024-10-25 22:12 183K 
[   ]perl-getopt-tabular-doc-0.3-r4.apk2024-10-25 22:12 17K 
[   ]perl-getopt-tabular-0.3-r4.apk2024-10-25 22:12 23K 
[   ]perl-getopt-long-descriptive-doc-0.115-r0.apk2024-11-11 15:10 11K 
[   ]perl-getopt-long-descriptive-0.115-r0.apk2024-11-11 15:10 15K 
[   ]perl-gearman-doc-2.004.015-r3.apk2024-10-25 22:12 20K 
[   ]perl-gearman-2.004.015-r3.apk2024-10-25 22:12 27K 
[   ]perl-future-queue-doc-0.52-r0.apk2024-10-25 22:12 4.3K 
[   ]perl-future-queue-0.52-r0.apk2024-10-25 22:12 4.1K 
[   ]perl-future-q-doc-0.120-r0.apk2024-10-25 22:12 9.1K 
[   ]perl-future-q-0.120-r0.apk2024-10-25 22:12 9.6K 
[   ]perl-future-http-doc-0.17-r0.apk2024-10-25 22:12 16K 
[   ]perl-future-http-0.17-r0.apk2024-10-25 22:12 9.2K 
[   ]perl-future-asyncawait-hooks-doc-0.02-r0.apk2024-10-25 22:12 3.2K 
[   ]perl-future-asyncawait-hooks-0.02-r0.apk2024-10-25 22:12 8.5K 
[   ]perl-full-doc-1.004-r0.apk2024-10-25 22:12 10K 
[   ]perl-full-1.004-r0.apk2024-10-25 22:12 7.1K 
[   ]perl-freezethaw-doc-0.5001-r2.apk2024-10-25 22:12 5.7K 
[   ]perl-freezethaw-0.5001-r2.apk2024-10-25 22:12 9.8K 
[   ]perl-flowd-doc-0.9.1-r10.apk2024-10-25 22:12 3.2K 
[   ]perl-flowd-0.9.1-r10.apk2024-10-25 22:12 22K 
[   ]perl-file-rename-doc-2.02-r0.apk2024-10-25 22:12 12K 
[   ]perl-file-rename-2.02-r0.apk2024-10-25 22:12 7.5K 
[   ]perl-file-mmagic-xs-doc-0.09008-r4.apk2024-10-25 22:12 4.2K 
[   ]perl-file-mmagic-xs-0.09008-r4.apk2024-10-25 22:12 31K 
[   ]perl-ffi-platypus-type-enum-doc-0.06-r0.apk2024-10-25 22:12 5.2K 
[   ]perl-ffi-platypus-type-enum-0.06-r0.apk2024-10-25 22:12 5.2K 
[   ]perl-ffi-platypus-doc-2.09-r0.apk2024-10-25 22:12 147K 
[   ]perl-ffi-platypus-2.09-r0.apk2024-10-25 22:12 184K 
[   ]perl-ffi-c-doc-0.15-r0.apk2024-10-25 22:12 29K 
[   ]perl-ffi-c-0.15-r0.apk2024-10-25 22:12 20K 
[   ]perl-feed-find-doc-0.13-r0.apk2024-10-25 22:12 3.7K 
[   ]perl-feed-find-0.13-r0.apk2024-10-25 22:12 3.9K 
[   ]perl-extutils-xsbuilder-doc-0.28-r5.apk2024-10-25 22:12 21K 
[   ]perl-extutils-xsbuilder-0.28-r5.apk2024-10-25 22:12 43K 
[   ]perl-extutils-makemaker-7.70-r2.apk2024-10-25 22:12 175K 
[   ]perl-ev-hiredis-doc-0.07-r1.apk2024-10-25 22:12 4.2K 
[   ]perl-ev-hiredis-0.07-r1.apk2024-10-25 22:12 14K 
[   ]perl-email-reply-doc-1.204-r5.apk2024-10-25 22:12 4.8K 
[   ]perl-email-reply-1.204-r5.apk2024-10-25 22:12 6.1K 
[   ]perl-email-mime-attachment-stripper-doc-1.317-r5.apk2024-10-25 22:12 3.8K 
[   ]perl-email-mime-attachment-stripper-1.317-r5.apk2024-10-25 22:12 4.0K 
[   ]perl-email-abstract-doc-3.010-r0.apk2024-10-25 22:12 13K 
[   ]perl-email-abstract-3.010-r0.apk2024-10-25 22:12 7.7K 
[   ]perl-dns-unbound-mojo-0.29-r1.apk2024-10-25 22:12 2.7K 
[   ]perl-dns-unbound-ioasync-0.29-r1.apk2024-10-25 22:12 2.4K 
[   ]perl-dns-unbound-doc-0.29-r1.apk2024-10-25 22:12 15K 
[   ]perl-dns-unbound-asyncquery-promisexs-0.29-r1.apk2024-10-25 22:12 1.9K 
[   ]perl-dns-unbound-anyevent-0.29-r1.apk2024-10-25 22:12 2.3K 
[   ]perl-dns-unbound-0.29-r1.apk2024-10-25 22:12 25K 
[   ]perl-digest-crc-doc-0.24-r1.apk2024-10-25 22:12 3.2K 
[   ]perl-digest-crc-0.24-r1.apk2024-10-25 22:12 11K 
[   ]perl-digest-bcrypt-doc-1.212-r1.apk2024-10-25 22:12 5.2K 
[   ]perl-digest-bcrypt-1.212-r1.apk2024-10-25 22:12 5.6K 
[   ]perl-devel-refcount-doc-0.10-r1.apk2024-10-25 22:12 4.2K 
[   ]perl-devel-refcount-0.10-r1.apk2024-10-25 22:12 6.3K 
[   ]perl-devel-leak-doc-0.03-r13.apk2024-10-25 22:12 3.3K 
[   ]perl-devel-leak-0.03-r13.apk2024-10-25 22:12 7.2K 
[   ]perl-devel-confess-doc-0.009004-r0.apk2024-10-25 22:12 6.7K 
[   ]perl-devel-confess-0.009004-r0.apk2024-10-25 22:12 11K 
[   ]perl-dbix-introspector-doc-0.001005-r4.apk2024-10-25 22:12 8.5K 
[   ]perl-dbix-introspector-0.001005-r4.apk2024-10-25 22:12 8.1K 
[   ]perl-dbix-datasource-doc-0.02-r5.apk2024-10-25 22:12 7.5K 
[   ]perl-dbix-datasource-0.02-r5.apk2024-10-25 22:12 4.3K 
[   ]perl-dbix-class-helpers-doc-2.037000-r0.apk2024-11-18 19:00 121K 
[   ]perl-dbix-class-helpers-2.037000-r0.apk2024-11-18 19:00 48K 
[   ]perl-dbix-class-doc-0.082843-r1.apk2024-10-25 22:12 345K 
[   ]perl-dbix-class-candy-doc-0.005004-r0.apk2024-10-30 07:00 9.5K 
[   ]perl-dbix-class-candy-0.005004-r0.apk2024-10-30 07:00 7.9K 
[   ]perl-dbix-class-0.082843-r1.apk2024-10-25 22:12 430K 
[   ]perl-dbicx-sugar-doc-0.0200-r5.apk2024-10-25 22:12 5.3K 
[   ]perl-dbicx-sugar-0.0200-r5.apk2024-10-25 22:12 5.9K 
[   ]perl-datetime-timezone-catalog-extend-doc-0.3.3-r0.apk2024-10-25 22:12 15K 
[   ]perl-datetime-timezone-catalog-extend-0.3.3-r0.apk2024-10-25 22:12 12K 
[   ]perl-datetime-timezone-alias-doc-0.06-r0.apk2024-10-25 22:12 7.6K 
[   ]perl-datetime-timezone-alias-0.06-r0.apk2024-10-25 22:12 2.5K 
[   ]perl-datetime-format-rfc3339-doc-1.8.0-r0.apk2024-10-25 22:12 4.2K 
[   ]perl-datetime-format-rfc3339-1.8.0-r0.apk2024-10-25 22:12 4.4K 
[   ]perl-datetime-format-flexible-doc-0.36-r0.apk2024-10-25 22:12 12K 
[   ]perl-datetime-format-flexible-0.36-r0.apk2024-10-25 22:12 18K 
[   ]perl-datetime-format-atom-doc-1.6.0-r0.apk2024-10-25 22:12 3.8K 
[   ]perl-datetime-format-atom-1.6.0-r0.apk2024-10-25 22:12 3.2K 
[   ]perl-database-async-engine-postgresql-doc-1.005-r0.apk2024-10-25 22:12 9.3K 
[   ]perl-database-async-engine-postgresql-1.005-r0.apk2024-10-25 22:12 14K 
[   ]perl-database-async-doc-0.019-r0.apk2024-10-25 22:12 29K 
[   ]perl-database-async-0.019-r0.apk2024-10-25 22:12 23K 
[   ]perl-data-validate-ip-doc-0.31-r1.apk2024-10-25 22:12 5.9K 
[   ]perl-data-validate-ip-0.31-r1.apk2024-10-25 22:12 8.8K 
[   ]perl-data-validate-domain-doc-0.15-r0.apk2024-10-25 22:12 5.5K 
[   ]perl-data-validate-domain-0.15-r0.apk2024-10-25 22:12 5.8K 
[   ]perl-data-checks-doc-0.10-r0.apk2024-10-25 22:12 8.2K 
[   ]perl-data-checks-0.10-r0.apk2024-10-25 22:12 24K 
[   ]perl-dancer-session-cookie-doc-0.30-r2.apk2024-10-25 22:12 4.2K 
[   ]perl-dancer-session-cookie-0.30-r2.apk2024-10-25 22:12 5.5K 
[   ]perl-dancer-plugin-passphrase-doc-2.0.1-r4.apk2024-10-25 22:12 8.5K 
[   ]perl-dancer-plugin-passphrase-2.0.1-r4.apk2024-10-25 22:12 9.7K 
[   ]perl-dancer-plugin-dbic-doc-0.2104-r5.apk2024-10-25 22:12 5.4K 
[   ]perl-dancer-plugin-dbic-0.2104-r5.apk2024-10-25 22:12 4.9K 
[   ]perl-dancer-plugin-auth-extensible-doc-1.00-r5.apk2024-10-25 22:12 15K 
[   ]perl-dancer-plugin-auth-extensible-1.00-r5.apk2024-10-25 22:12 15K 
[   ]perl-daemon-control-doc-0.001010-r2.apk2024-10-25 22:12 8.3K 
[   ]perl-daemon-control-0.001010-r2.apk2024-10-25 22:12 12K 
[   ]perl-css-object-doc-0.2.0-r0.apk2024-10-25 22:12 33K 
[   ]perl-css-object-0.2.0-r0.apk2024-10-25 22:12 23K 
[   ]perl-crypt-saltedhash-doc-0.09-r5.apk2024-10-25 22:12 6.4K 
[   ]perl-crypt-saltedhash-0.09-r5.apk2024-10-25 22:12 7.0K 
[   ]perl-crypt-random-seed-doc-0.03-r0.apk2024-10-25 22:12 8.8K 
[   ]perl-crypt-random-seed-0.03-r0.apk2024-10-25 22:12 11K 
[   ]perl-cpan-changes-doc-0.500004-r0.apk2024-10-25 22:12 18K 
[   ]perl-cpan-changes-0.500004-r0.apk2024-10-25 22:12 14K 
[   ]perl-context-preserve-doc-0.03-r4.apk2024-10-25 22:12 4.2K 
[   ]perl-context-preserve-0.03-r4.apk2024-10-25 22:12 3.9K 
[   ]perl-constant-generate-doc-0.17-r5.apk2024-10-25 22:12 7.0K 
[   ]perl-constant-generate-0.17-r5.apk2024-10-25 22:12 8.8K 
[   ]perl-constant-defer-doc-6-r5.apk2024-10-25 22:12 7.0K 
[   ]perl-constant-defer-6-r5.apk2024-10-25 22:12 7.4K 
[   ]perl-conf-libconfig-doc-1.0.3-r0.apk2024-10-25 22:12 5.4K 
[   ]perl-conf-libconfig-1.0.3-r0.apk2024-10-25 22:12 26K 
[   ]perl-color-rgb-util-doc-0.607-r0.apk2024-10-25 22:12 7.4K 
[   ]perl-color-rgb-util-0.607-r0.apk2024-10-25 22:12 9.4K 
[   ]perl-color-ansi-util-doc-0.165-r0.apk2024-10-25 22:12 5.2K 
[   ]perl-color-ansi-util-0.165-r0.apk2024-10-25 22:12 7.3K 
[   ]perl-class-inner-doc-0.200001-r5.apk2024-10-25 22:12 4.1K 
[   ]perl-class-inner-0.200001-r5.apk2024-10-25 22:12 4.0K 
[   ]perl-class-c3-doc-0.35-r1.apk2024-10-25 22:12 9.3K 
[   ]perl-class-c3-componentised-doc-1.001002-r2.apk2024-10-25 22:12 5.3K 
[   ]perl-class-c3-componentised-1.001002-r2.apk2024-10-25 22:12 5.5K 
[   ]perl-class-c3-0.35-r1.apk2024-10-25 22:12 9.5K 
[   ]perl-class-accessor-grouped-doc-0.10014-r2.apk2024-10-25 22:12 7.5K 
[   ]perl-class-accessor-grouped-0.10014-r2.apk2024-10-25 22:12 12K 
[   ]perl-check-unitcheck-doc-0.13-r1.apk2024-10-25 22:12 3.6K 
[   ]perl-check-unitcheck-0.13-r1.apk2024-10-25 22:12 6.2K 
[   ]perl-cgi-expand-doc-2.05-r4.apk2024-10-25 22:12 6.2K 
[   ]perl-cgi-expand-2.05-r4.apk2024-10-25 22:12 6.9K 
[   ]perl-cairo-gobject-doc-1.005-r4.apk2024-10-25 22:12 2.9K 
[   ]perl-cairo-gobject-1.005-r4.apk2024-10-25 22:12 6.8K 
[   ]perl-cairo-doc-1.109-r4.apk2024-10-25 22:12 14K 
[   ]perl-cairo-1.109-r4.apk2024-10-25 22:12 82K 
[   ]perl-cache-lru-doc-0.04-r0.apk2024-10-25 22:12 3.2K 
[   ]perl-cache-lru-0.04-r0.apk2024-10-25 22:12 3.0K 
[   ]perl-bytes-random-secure-doc-0.29-r0.apk2024-10-25 22:12 12K 
[   ]perl-bytes-random-secure-0.29-r0.apk2024-10-25 22:12 14K 
[   ]perl-bsd-resource-doc-1.2911-r10.apk2024-10-25 22:12 7.9K 
[   ]perl-bsd-resource-1.2911-r10.apk2024-10-25 22:12 20K 
[   ]perl-bind-config-parser-doc-0.01-r5.apk2024-10-25 22:12 3.6K 
[   ]perl-bind-config-parser-0.01-r5.apk2024-10-25 22:12 3.9K 
[   ]perl-bareword-filehandles-doc-0.007-r0.apk2024-10-25 22:12 3.2K 
[   ]perl-bareword-filehandles-0.007-r0.apk2024-10-25 22:12 6.1K 
[   ]perl-barcode-zbar-doc-0.10-r3.apk2024-10-25 22:12 13K 
[   ]perl-barcode-zbar-0.10-r3.apk2024-10-25 22:12 31K 
[   ]perl-b-hooks-op-check-doc-0.22-r0.apk2024-10-25 22:12 3.7K 
[   ]perl-b-hooks-op-check-0.22-r0.apk2024-10-25 22:12 6.8K 
[   ]perl-autobox-doc-3.0.2-r0.apk2024-10-25 22:12 8.8K 
[   ]perl-autobox-3.0.2-r0.apk2024-10-25 22:12 19K 
[   ]perl-archive-extract-doc-0.88-r1.apk2024-10-25 22:12 6.8K 
[   ]perl-archive-extract-0.88-r1.apk2024-10-25 22:12 16K 
[   ]perl-anyevent-riperedis-doc-0.48-r0.apk2024-10-25 22:12 10K 
[   ]perl-anyevent-riperedis-0.48-r0.apk2024-10-25 22:12 12K 
[   ]perl-anyevent-future-doc-0.05-r0.apk2024-10-25 22:12 5.4K 
[   ]perl-anyevent-future-0.05-r0.apk2024-10-25 22:12 5.2K 
[   ]perl-anyevent-dns-etchosts-doc-0.0105-r0.apk2024-10-25 22:12 4.0K 
[   ]perl-anyevent-dns-etchosts-0.0105-r0.apk2024-10-25 22:12 5.1K 
[   ]perl-aliased-doc-0.34-r4.apk2024-10-25 22:12 5.7K 
[   ]perl-aliased-0.34-r4.apk2024-10-25 22:12 5.7K 
[   ]perl-algorithm-permute-doc-0.17-r0.apk2024-10-25 22:12 5.0K 
[   ]perl-algorithm-permute-0.17-r0.apk2024-10-25 22:12 13K 
[   ]perl-algorithm-evolutionary-doc-0.82.1-r0.apk2024-10-25 22:12 148K 
[   ]perl-algorithm-evolutionary-0.82.1-r0.apk2024-10-25 22:12 79K 
[   ]perl-algorithm-cron-doc-0.10-r4.apk2024-10-25 22:12 4.6K 
[   ]perl-algorithm-cron-0.10-r4.apk2024-10-25 22:12 6.1K 
[   ]perl-algorithm-c3-doc-0.11-r1.apk2024-10-25 22:12 5.1K 
[   ]perl-algorithm-c3-0.11-r1.apk2024-10-25 22:12 5.7K 
[   ]perl-algorithm-backoff-doc-0.010-r0.apk2024-10-25 22:12 29K 
[   ]perl-algorithm-backoff-0.010-r0.apk2024-10-25 22:12 9.6K 
[   ]perl-adapter-async-doc-0.019-r0.apk2024-10-25 22:12 17K 
[   ]perl-adapter-async-0.019-r0.apk2024-10-25 22:12 8.1K 
[   ]percona-toolkit-doc-3.5.4-r1.apk2024-10-25 22:12 298K 
[   ]percona-toolkit-3.5.4-r1.apk2024-10-25 22:12 1.8M 
[   ]pegasus-frontend-doc-16_alpha-r0.apk2024-10-25 22:12 16K 
[   ]pegasus-frontend-16_alpha-r0.apk2024-10-25 22:12 1.2M 
[   ]peg-doc-0.1.18-r1.apk2024-10-25 22:12 14K 
[   ]peg-0.1.18-r1.apk2024-10-25 22:12 40K 
[   ]peervpn-openrc-0.044-r5.apk2024-10-25 22:12 1.8K 
[   ]peervpn-0.044-r5.apk2024-10-25 22:12 43K 
[   ]pebble-le-doc-0.3.0-r1.apk2024-10-25 22:12 3.7K 
[   ]pebble-le-dev-0.3.0-r1.apk2024-10-25 22:12 40K 
[   ]pebble-le-0.3.0-r1.apk2024-10-25 22:12 66K 
[   ]pdm-pyc-2.18.2-r0.apk2024-10-25 22:12 482K 
[   ]pdm-2.18.2-r0.apk2024-10-25 22:12 227K 
[   ]pdfcrack-0.20-r0.apk2024-10-25 22:12 25K 
[   ]pdfcpu-0.9.1-r0.apk2024-10-27 18:24 4.6M 
[   ]pdf2svg-0.2.3-r1.apk2024-10-25 22:12 4.9K 
[   ]pdal-python-plugins-1.2.1-r3.apk2024-10-25 22:12 248K 
[   ]pcsc-tools-doc-1.7.2-r0.apk2024-10-25 22:12 6.0K 
[   ]pcsc-tools-1.7.2-r0.apk2024-10-25 22:12 188K 
[   ]pcsc-perl-doc-1.4.16-r1.apk2024-10-25 22:12 10K 
[   ]pcsc-perl-1.4.16-r1.apk2024-10-25 22:12 26K 
[   ]pcem-17-r2.apk2024-10-25 22:12 1.7M 
[   ]pasystray-doc-0.8.2-r0.apk2024-10-25 22:12 3.3K 
[   ]pasystray-0.8.2-r0.apk2024-10-25 22:12 46K 
[   ]pastel-zsh-completion-0.10.0-r0.apk2024-10-25 22:12 4.9K 
[   ]pastel-fish-completion-0.10.0-r0.apk2024-10-25 22:12 3.2K 
[   ]pastel-bash-completion-0.10.0-r0.apk2024-10-25 22:12 3.0K 
[   ]pastel-0.10.0-r0.apk2024-10-25 22:12 439K 
[   ]pass2csv-pyc-1.1.1-r1.apk2024-10-25 22:12 7.5K 
[   ]pass2csv-1.1.1-r1.apk2024-10-25 22:12 8.4K 
[   ]pash-2.3.0-r2.apk2024-10-25 22:12 4.3K 
[   ]parse-changelog-0.6.8-r0.apk2024-10-25 22:12 520K 
[   ]pari-libs-2.17.0-r0.apk2024-10-25 22:12 4.4M 
[   ]pari-doc-2.17.0-r0.apk2024-10-25 22:12 925K 
[   ]pari-dev-2.17.0-r0.apk2024-10-25 22:12 107K 
[   ]pari-2.17.0-r0.apk2024-10-25 22:12 584K 
[   ]parcellite-lang-1.2.5-r0.apk2024-10-25 22:12 49K 
[   ]parcellite-doc-1.2.5-r0.apk2024-10-25 22:12 25K 
[   ]parcellite-1.2.5-r0.apk2024-10-25 22:12 225K 
[   ]par2cmdline-turbo-doc-1.1.1-r1.apk2024-10-25 22:12 5.4K 
[   ]par2cmdline-turbo-1.1.1-r1.apk2024-10-25 22:12 375K 
[   ]par-doc-1.53.0-r1.apk2024-10-25 22:12 30K 
[   ]par-1.53.0-r1.apk2024-10-25 22:12 14K 
[   ]paprefs-lang-1.2-r1.apk2024-10-25 22:12 39K 
[   ]paprefs-1.2-r1.apk2024-10-25 22:12 33K 
[   ]paperkey-doc-1.6-r2.apk2024-10-25 22:12 4.5K 
[   ]paperkey-1.6-r2.apk2024-10-25 22:12 16K 
[   ]paperde-dev-0.2.1-r2.apk2024-10-25 22:12 5.2K 
[   ]paperde-0.2.1-r2.apk2024-10-25 22:12 672K 
[   ]pantalaimon-ui-0.10.5-r4.apk2024-10-25 22:12 1.7K 
[   ]pantalaimon-pyc-0.10.5-r4.apk2024-10-25 22:12 83K 
[   ]pantalaimon-doc-0.10.5-r4.apk2024-10-25 22:12 6.4K 
[   ]pantalaimon-0.10.5-r4.apk2024-10-25 22:12 45K 
[   ]pamtester-doc-0.1.2-r4.apk2024-10-25 22:12 2.9K 
[   ]pamtester-0.1.2-r4.apk2024-10-25 22:12 9.0K 
[   ]pam_sqlite3-1.0.2-r2.apk2024-10-25 22:12 8.8K 
[   ]pam_mount-doc-2.20-r3.apk2024-10-25 22:12 17K 
[   ]pam_mount-dev-2.20-r3.apk2024-10-25 22:12 3.4K 
[   ]pam_mount-2.20-r3.apk2024-10-25 22:12 54K 
[   ]pam-krb5-doc-4.11-r1.apk2024-10-25 22:12 24K 
[   ]pam-krb5-4.11-r1.apk2024-10-25 22:12 23K 
[   ]pacparser-doc-1.4.5-r1.apk2024-10-25 22:12 18K 
[   ]pacparser-dev-1.4.5-r1.apk2024-10-25 22:12 3.6K 
[   ]pacparser-1.4.5-r1.apk2024-10-25 22:12 707K 
[   ]p910nd-openrc-0.97-r2.apk2024-10-25 22:12 1.8K 
[   ]p910nd-doc-0.97-r2.apk2024-10-25 22:12 3.0K 
[   ]p910nd-0.97-r2.apk2024-10-25 22:12 8.7K 
[   ]p0f-doc-3.09b-r3.apk2024-10-25 22:12 25K 
[   ]p0f-3.09b-r3.apk2024-10-25 22:12 75K 
[   ]oxygen-icons-6.1.0-r0.apk2024-10-25 22:12 32M 
[   ]ovpncc-doc-0.1_rc1-r0.apk2024-10-25 22:12 6.5K 
[   ]ovpncc-0.1_rc1-r0.apk2024-10-25 22:12 12K 
[   ]ovos-skill-hello-world-pyc-0.0.4_alpha3-r1.apk2024-10-25 22:12 4.0K 
[   ]ovos-skill-hello-world-0.0.4_alpha3-r1.apk2024-10-25 22:12 46K 
[   ]ovos-phal-pyc-0.2.7-r0.apk2024-11-21 14:32 7.2K 
[   ]ovos-phal-0.2.7-r0.apk2024-11-21 14:32 10K 
[   ]ovos-messagebus-pyc-0.0.7-r0.apk2024-11-21 14:32 6.7K 
[   ]ovos-messagebus-0.0.7-r0.apk2024-11-21 14:32 9.8K 
[   ]ovos-gui-pyc-1.0.0-r0.apk2024-11-21 14:32 38K 
[   ]ovos-gui-1.0.0-r0.apk2024-11-21 14:32 30K 
[   ]ovos-core-pyc-0.2.16-r0.apk2024-11-21 14:32 206K 
[   ]ovos-core-0.2.16-r0.apk2024-11-21 14:32 369K 
[   ]ovos-audio-pyc-0.3.1-r0.apk2024-11-21 14:32 36K 
[   ]ovos-audio-0.3.1-r0.apk2024-11-21 14:32 136K 
[   ]ovn-openrc-24.03.1-r0.apk2024-10-25 22:12 4.1K 
[   ]ovn-doc-24.03.1-r0.apk2024-10-25 22:12 512K 
[   ]ovn-dev-24.03.1-r0.apk2024-10-25 22:12 9.3M 
[   ]ovn-dbg-24.03.1-r0.apk2024-10-25 22:12 23M 
[   ]ovn-24.03.1-r0.apk2024-10-25 22:12 8.1M 
[   ]ouch-zsh-completion-0.5.1-r0.apk2024-10-25 22:12 2.9K 
[   ]ouch-fish-completion-0.5.1-r0.apk2024-10-25 22:12 2.4K 
[   ]ouch-doc-0.5.1-r0.apk2024-10-25 22:12 3.7K 
[   ]ouch-bash-completion-0.5.1-r0.apk2024-10-25 22:12 2.3K 
[   ]ouch-0.5.1-r0.apk2024-10-25 22:12 1.1M 
[   ]otrs-setup-6.0.48-r2.apk2024-10-25 22:12 107K 
[   ]otrs-openrc-6.0.48-r2.apk2024-10-25 22:12 1.9K 
[   ]otrs-nginx-6.0.48-r2.apk2024-10-25 22:12 1.8K 
[   ]otrs-fastcgi-6.0.48-r2.apk2024-10-25 22:12 1.8K 
[   ]otrs-doc-6.0.48-r2.apk2024-10-25 22:12 795K 
[   ]otrs-dev-6.0.48-r2.apk2024-10-25 22:12 3.9M 
[   ]otrs-bash-completion-6.0.48-r2.apk2024-10-25 22:12 2.4K 
[   ]otrs-apache2-6.0.48-r2.apk2024-10-25 22:12 4.0K 
[   ]otrs-6.0.48-r2.apk2024-10-25 22:12 29M 
[   ]otpclient-doc-4.0.2-r0.apk2024-10-25 22:12 3.6K 
[   ]otpclient-4.0.2-r0.apk2024-10-25 22:12 135K 
[   ]otf-atkinson-hyperlegible-doc-2020.0514-r1.apk2024-10-25 22:12 48K 
[   ]otf-atkinson-hyperlegible-2020.0514-r1.apk2024-10-25 22:12 102K 
[   ]osmctools-0.9-r0.apk2024-10-25 22:12 123K 
[   ]orage-lang-4.18.0-r0.apk2024-10-25 22:12 1.2M 
[   ]orage-4.18.0-r0.apk2024-10-25 22:12 590K 
[   ]opmsg-1.84-r1.apk2024-10-25 22:12 304K 
[   ]opkg-utils-doc-0.7.0-r0.apk2024-10-25 22:12 3.6K 
[   ]opkg-utils-0.7.0-r0.apk2024-10-25 22:12 25K 
[   ]opkg-libs-0.7.0-r0.apk2024-10-25 22:12 80K 
[   ]opkg-doc-0.7.0-r0.apk2024-10-25 22:12 7.6K 
[   ]opkg-dev-0.7.0-r0.apk2024-10-25 22:12 106K 
[   ]opkg-0.7.0-r0.apk2024-10-25 22:12 10K 
[   ]openwsman-libs-2.7.2-r5.apk2024-10-25 22:12 325K 
[   ]openwsman-doc-2.7.2-r5.apk2024-10-25 22:12 2.4K 
[   ]openwsman-dev-2.7.2-r5.apk2024-10-25 22:12 58K 
[   ]openwsman-2.7.2-r5.apk2024-10-25 22:12 50K 
[   ]openvpn3-dev-3.8.5-r0.apk2024-10-25 22:12 686K 
[   ]openvpn3-3.8.5-r0.apk2024-10-25 22:12 418K 
[   ]openttd-opensfx-1.0.3-r0.apk2024-10-25 22:12 11M 
[   ]openttd-openmsx-0.4.2-r0.apk2024-10-25 22:12 129K 
[   ]openttd-opengfx-7.1-r0.apk2024-10-25 22:12 3.3M 
[   ]openttd-lang-14.1-r0.apk2024-10-25 22:12 3.5M 
[   ]openttd-doc-14.1-r0.apk2024-10-25 22:12 264K 
[   ]openttd-14.1-r0.apk2024-10-25 22:12 6.4M 
[   ]opentelemetry-cpp-exporter-zipkin-1.11.0-r5.apk2024-11-11 19:20 51K 
[   ]opentelemetry-cpp-exporter-otlp-http-1.11.0-r5.apk2024-11-11 19:20 75K 
[   ]opentelemetry-cpp-exporter-otlp-grpc-1.11.0-r5.apk2024-11-11 19:20 36K 
[   ]opentelemetry-cpp-exporter-otlp-common-1.11.0-r5.apk2024-11-11 19:20 35K 
[   ]opentelemetry-cpp-dev-1.11.0-r5.apk2024-11-11 19:20 323K 
[   ]opentelemetry-cpp-1.11.0-r5.apk2024-11-11 19:20 581K 
[   ]openswitcher-proxy-openrc-0.5.0-r4.apk2024-10-25 22:12 2.0K 
[   ]openswitcher-proxy-0.5.0-r4.apk2024-10-25 22:12 9.4K 
[   ]openswitcher-0.5.0-r4.apk2024-10-25 22:12 148K 
[   ]openssl1.1-compat-libs-static-1.1.1w-r1.apk2024-10-25 22:12 6.1M 
[   ]openssl1.1-compat-dev-1.1.1w-r1.apk2024-10-25 22:12 261K 
[   ]openssl1.1-compat-dbg-1.1.1w-r1.apk2024-10-25 22:12 3.3M 
[   ]openssl1.1-compat-1.1.1w-r1.apk2024-10-25 22:12 236K 
[   ]openspades-doc-0.1.3-r5.apk2024-10-25 22:12 20K 
[   ]openspades-0.1.3-r5.apk2024-10-25 22:12 11M 
[   ]opensmtpd-filter-dkimsign-doc-0.6-r1.apk2024-10-25 22:12 3.6K 
[   ]opensmtpd-filter-dkimsign-0.6-r1.apk2024-10-25 22:12 16K 
[   ]opensm-openrc-3.3.24-r2.apk2024-10-25 22:12 2.8K 
[   ]opensm-doc-3.3.24-r2.apk2024-10-25 22:12 38K 
[   ]opensm-dev-3.3.24-r2.apk2024-10-25 22:12 219K 
[   ]opensm-3.3.24-r2.apk2024-10-25 22:12 465K 
[   ]openslide-tools-3.4.1-r3.apk2024-10-25 22:12 9.5K 
[   ]openslide-doc-3.4.1-r3.apk2024-10-25 22:12 4.9K 
[   ]openslide-dev-3.4.1-r3.apk2024-10-25 22:12 6.9K 
[   ]openslide-3.4.1-r3.apk2024-10-25 22:12 89K 
[   ]openscap-daemon-pyc-0.1.10-r9.apk2024-10-25 22:12 102K 
[   ]openscap-daemon-doc-0.1.10-r9.apk2024-10-25 22:12 18K 
[   ]openscap-daemon-0.1.10-r9.apk2024-10-25 22:12 60K 
[   ]openocd-riscv-udev-rules-0_git20230104-r2.apk2024-10-25 22:12 3.3K 
[   ]openocd-riscv-doc-0_git20230104-r2.apk2024-10-25 22:12 3.3K 
[   ]openocd-riscv-dev-0_git20230104-r2.apk2024-10-25 22:12 3.7K 
[   ]openocd-riscv-0_git20230104-r2.apk2024-10-25 22:12 1.5M 
[   ]openocd-git-udev-rules-0_git20240113-r1.apk2024-10-25 22:12 3.4K 
[   ]openocd-git-doc-0_git20240113-r1.apk2024-10-25 22:12 3.3K 
[   ]openocd-git-dev-0_git20240113-r1.apk2024-10-25 22:12 3.4K 
[   ]openocd-git-dbg-0_git20240113-r1.apk2024-10-25 22:12 3.7M 
[   ]openocd-git-cmd-openocd-0_git20240113-r1.apk2024-10-25 22:12 1.5K 
[   ]openocd-git-0_git20240113-r1.apk2024-10-25 22:12 1.6M 
[   ]openocd-esp32-udev-rules-0_git20230921-r5.apk2024-10-25 22:12 3.4K 
[   ]openocd-esp32-doc-0_git20230921-r5.apk2024-10-25 22:12 3.3K 
[   ]openocd-esp32-dev-0_git20230921-r5.apk2024-10-25 22:12 3.4K 
[   ]openocd-esp32-0_git20230921-r5.apk2024-10-25 22:12 1.7M 
[   ]openmg-0.0.9-r0.apk2024-10-25 22:12 105K 
[   ]openfpgaloader-0.11.0-r0.apk2024-10-25 22:12 1.9M 
[   ]openfortivpn-doc-1.21.0-r0.apk2024-10-25 22:12 6.3K 
[   ]openfortivpn-1.21.0-r0.apk2024-10-25 22:12 41K 
[   ]opendht-libs-3.1.7-r4.apk2024-11-11 20:23 633K 
[   ]opendht-doc-3.1.7-r4.apk2024-11-11 20:23 3.0K 
[   ]opendht-dev-3.1.7-r4.apk2024-11-11 20:23 71K 
[   ]opendht-3.1.7-r4.apk2024-11-11 20:23 196K 
[   ]openapi-validator-1.19.2-r0.apk2024-10-25 22:12 9.9M 
[   ]openapi-tui-0.9.4-r1.apk2024-10-25 22:12 4.0M 
[   ]opcr-policy-0.2.21-r0.apk2024-10-25 22:12 8.6M 
[   ]onioncat-doc-4.11.0-r1.apk2024-10-25 22:12 19K 
[   ]onioncat-4.11.0-r1.apk2024-10-25 22:12 64K 
[   ]olsrd-plugins-0.9.8-r3.apk2024-10-25 22:12 176K 
[   ]olsrd-openrc-0.9.8-r3.apk2024-10-25 22:12 1.9K 
[   ]olsrd-doc-0.9.8-r3.apk2024-10-25 22:12 25K 
[   ]olsrd-0.9.8-r3.apk2024-10-25 22:12 165K 
[   ]olab-0.1.8-r0.apk2024-10-25 22:12 3.3M 
[   ]ol-doc-2.4-r0.apk2024-10-25 22:12 2.6K 
[   ]ol-2.4-r0.apk2024-10-25 22:12 1.0M 
[   ]oil-doc-0.21.0-r0.apk2024-10-25 22:12 7.0K 
[   ]oil-0.21.0-r0.apk2024-10-25 22:12 1.4M 
[   ]odyssey-openrc-1.3-r3.apk2024-10-25 22:12 2.0K 
[   ]odyssey-1.3-r3.apk2024-10-25 22:12 127K 
[   ]ode-0.16.5-r0.apk2024-10-25 22:12 589K 
[   ]octoprint-pyc-1.10.1-r1.apk2024-10-25 22:12 1.2M 
[   ]octoprint-pisupport-pyc-2023.10.10-r1.apk2024-10-25 22:12 13K 
[   ]octoprint-pisupport-2023.10.10-r1.apk2024-10-25 22:12 31K 
[   ]octoprint-openrc-1.10.1-r1.apk2024-10-25 22:12 1.7K 
[   ]octoprint-firmwarecheck-pyc-2021.10.11-r2.apk2024-10-25 22:12 18K 
[   ]octoprint-firmwarecheck-2021.10.11-r2.apk2024-10-25 22:12 29K 
[   ]octoprint-filecheck-pyc-2024.3.27-r1.apk2024-10-25 22:12 11K 
[   ]octoprint-filecheck-2024.3.27-r1.apk2024-10-25 22:12 28K 
[   ]octoprint-creality2xfix-pyc-0.0.4-r2.apk2024-10-25 22:12 3.3K 
[   ]octoprint-creality2xfix-0.0.4-r2.apk2024-10-25 22:12 4.7K 
[   ]octoprint-1.10.1-r1.apk2024-10-25 22:12 3.1M 
[   ]ocp-index-vim-1.3.6-r0.apk2024-10-25 22:12 3.1K 
[   ]ocp-index-emacs-1.3.6-r0.apk2024-10-25 22:12 6.3K 
[   ]ocp-index-doc-1.3.6-r0.apk2024-10-25 22:12 31K 
[   ]ocp-index-1.3.6-r0.apk2024-10-25 22:12 5.1M 
[   ]ocp-indent-vim-1.8.2-r2.apk2024-10-25 22:12 2.4K 
[   ]ocp-indent-emacs-1.8.2-r2.apk2024-10-25 22:12 3.7K 
[   ]ocp-indent-doc-1.8.2-r2.apk2024-10-25 22:12 17K 
[   ]ocp-indent-1.8.2-r2.apk2024-10-25 22:12 1.0M 
[   ]ocfs2-tools-doc-1.8.7-r4.apk2024-10-25 22:12 69K 
[   ]ocfs2-tools-dev-1.8.7-r4.apk2024-10-25 22:12 45K 
[   ]ocfs2-tools-1.8.7-r4.apk2024-10-25 22:12 1.2M 
[   ]ocamlnet-tcl-4.1.9-r2.apk2024-10-25 22:12 50K 
[   ]ocamlnet-dev-4.1.9-r2.apk2024-10-25 22:12 5.5M 
[   ]ocamlnet-4.1.9-r2.apk2024-10-25 22:12 16M 
[   ]ocaml-zed-dev-3.1.0-r3.apk2024-10-25 22:12 1.1M 
[   ]ocaml-zed-3.1.0-r3.apk2024-10-25 22:12 512K 
[   ]ocaml-yojson-dev-2.1.2-r0.apk2024-10-25 22:12 1.6M 
[   ]ocaml-yojson-2.1.2-r0.apk2024-10-25 22:12 1.0M 
[   ]ocaml-xtmpl-dev-0.19.0-r0.apk2024-10-25 22:12 772K 
[   ]ocaml-xtmpl-0.19.0-r0.apk2024-10-25 22:12 8.3M 
[   ]ocaml-xmlm-dev-1.4.0-r2.apk2024-10-25 22:12 96K 
[   ]ocaml-xmlm-1.4.0-r2.apk2024-10-25 22:12 544K 
[   ]ocaml-xml-light-dev-2.5-r0.apk2024-10-25 22:12 383K 
[   ]ocaml-xml-light-2.5-r0.apk2024-10-25 22:12 214K 
[   ]ocaml-x509-dev-0.16.0-r2.apk2024-10-25 22:12 1.5M 
[   ]ocaml-x509-0.16.0-r2.apk2024-10-25 22:12 818K 
[   ]ocaml-uutf-dev-1.0.3-r2.apk2024-10-25 22:12 54K 
[   ]ocaml-uutf-1.0.3-r2.apk2024-10-25 22:12 605K 
[   ]ocaml-uuseg-tools-14.0.0-r2.apk2024-10-25 22:12 1.2M 
[   ]ocaml-uuseg-dev-14.0.0-r2.apk2024-10-25 22:12 48K 
[   ]ocaml-uuseg-14.0.0-r2.apk2024-10-25 22:12 92K 
[   ]ocaml-uunf-dev-14.0.0-r2.apk2024-10-25 22:12 189K 
[   ]ocaml-uunf-14.0.0-r2.apk2024-10-25 22:12 1.1M 
[   ]ocaml-uuidm-tools-0.9.8-r2.apk2024-10-25 22:12 430K 
[   ]ocaml-uuidm-dev-0.9.8-r2.apk2024-10-25 22:12 24K 
[   ]ocaml-uuidm-0.9.8-r2.apk2024-10-25 22:12 44K 
[   ]ocaml-uucp-dev-14.0.0-r2.apk2024-10-25 22:12 1.0M 
[   ]ocaml-uucp-14.0.0-r2.apk2024-10-25 22:12 4.9M 
[   ]ocaml-uucd-dev-14.0.0-r2.apk2024-10-25 22:12 161K 
[   ]ocaml-uucd-14.0.0-r2.apk2024-10-25 22:12 271K 
[   ]ocaml-utop-dev-2.9.1-r4.apk2024-10-25 22:12 763K 
[   ]ocaml-utop-2.9.1-r4.apk2024-10-25 22:12 349K 
[   ]ocaml-uri-dev-4.2.0-r2.apk2024-10-25 22:12 4.3M 
[   ]ocaml-uri-4.2.0-r2.apk2024-10-25 22:12 1.4M 
[   ]ocaml-tsdl-ttf-dev-0.6-r0.apk2024-10-25 22:12 107K 
[   ]ocaml-tsdl-ttf-0.6-r0.apk2024-10-25 22:12 62K 
[   ]ocaml-tsdl-image-dev-0.6-r0.apk2024-10-25 22:12 76K 
[   ]ocaml-tsdl-image-0.6-r0.apk2024-10-25 22:12 47K 
[   ]ocaml-tsdl-dev-1.0.0-r0.apk2024-10-25 22:12 549K 
[   ]ocaml-tsdl-1.0.0-r0.apk2024-10-25 22:12 903K 
[   ]ocaml-trie-dev-1.0.0-r2.apk2024-10-25 22:12 25K 
[   ]ocaml-trie-1.0.0-r2.apk2024-10-25 22:12 16K 
[   ]ocaml-topkg-dev-1.0.5-r2.apk2024-10-25 22:12 398K 
[   ]ocaml-topkg-1.0.5-r2.apk2024-10-25 22:12 604K 
[   ]ocaml-tophide-1.0.4-r2.apk2024-10-25 22:12 5.7K 
[   ]ocaml-tls-dev-0.15.3-r4.apk2024-10-25 22:12 2.3M 
[   ]ocaml-tls-0.15.3-r4.apk2024-10-25 22:12 1.2M 
[   ]ocaml-tcpip-dev-7.1.2-r3.apk2024-10-25 22:12 2.4M 
[   ]ocaml-tcpip-7.1.2-r3.apk2024-10-25 22:12 1.2M 
[   ]ocaml-stringext-dev-1.6.0-r2.apk2024-10-25 22:12 77K 
[   ]ocaml-stringext-1.6.0-r2.apk2024-10-25 22:12 42K 
[   ]ocaml-stk-dev-0.1.0-r0.apk2024-10-25 22:12 9.8M 
[   ]ocaml-stk-0.1.0-r0.apk2024-10-25 22:12 6.0M 
[   ]ocaml-stdlib-shims-0.3.0-r2.apk2024-10-25 22:12 4.2K 
[   ]ocaml-ssl-dev-0.7.0-r0.apk2024-10-25 22:12 204K 
[   ]ocaml-ssl-0.7.0-r0.apk2024-10-25 22:12 96K 
[   ]ocaml-sha-dev-1.15.4-r0.apk2024-10-25 22:12 243K 
[   ]ocaml-sha-1.15.4-r0.apk2024-10-25 22:12 76K 
[   ]ocaml-sexplib0-dev-0.16.0-r0.apk2024-10-25 22:12 335K 
[   ]ocaml-sexplib0-0.16.0-r0.apk2024-10-25 22:12 169K 
[   ]ocaml-sexplib-dev-0.16.0-r0.apk2024-10-25 22:12 820K 
[   ]ocaml-sexplib-0.16.0-r0.apk2024-10-25 22:12 483K 
[   ]ocaml-seq-dev-0.3.1-r2.apk2024-10-25 22:12 20K 
[   ]ocaml-seq-0.3.1-r2.apk2024-10-25 22:12 14K 
[   ]ocaml-sedlex-dev-3.2-r0.apk2024-10-25 22:12 1.5M 
[   ]ocaml-sedlex-3.2-r0.apk2024-10-25 22:12 3.9M 
[   ]ocaml-rresult-dev-0.7.0-r2.apk2024-10-25 22:12 35K 
[   ]ocaml-rresult-0.7.0-r2.apk2024-10-25 22:12 38K 
[   ]ocaml-result-dev-1.5-r2.apk2024-10-25 22:12 8.1K 
[   ]ocaml-result-1.5-r2.apk2024-10-25 22:12 9.2K 
[   ]ocaml-reason-dev-3.8.2-r1.apk2024-10-25 22:12 28M 
[   ]ocaml-reason-3.8.2-r1.apk2024-10-25 22:12 15M 
[   ]ocaml-react-dev-1.2.2-r2.apk2024-10-25 22:12 193K 
[   ]ocaml-react-1.2.2-r2.apk2024-10-25 22:12 280K 
[   ]ocaml-re-dev-1.11.0-r1.apk2024-10-25 22:12 970K 
[   ]ocaml-re-1.11.0-r1.apk2024-10-25 22:12 522K 
[   ]ocaml-randomconv-dev-0.1.3-r2.apk2024-10-25 22:12 18K 
[   ]ocaml-randomconv-0.1.3-r2.apk2024-10-25 22:12 13K 
[   ]ocaml-qtest-dev-2.11.2-r3.apk2024-10-25 22:12 3.6K 
[   ]ocaml-qtest-2.11.2-r3.apk2024-10-25 22:12 321K 
[   ]ocaml-qcheck-dev-0.18.1-r3.apk2024-10-25 22:12 1.3M 
[   ]ocaml-qcheck-0.18.1-r3.apk2024-10-25 22:12 657K 
[   ]ocaml-ptmap-dev-2.0.5-r3.apk2024-10-25 22:12 94K 
[   ]ocaml-ptmap-2.0.5-r3.apk2024-10-25 22:12 53K 
[   ]ocaml-ptime-dev-1.0.0-r2.apk2024-10-25 22:12 75K 
[   ]ocaml-ptime-1.0.0-r2.apk2024-10-25 22:12 113K 
[   ]ocaml-psq-dev-0.2.0-r2.apk2024-10-25 22:12 171K 
[   ]ocaml-psq-0.2.0-r2.apk2024-10-25 22:12 95K 
[   ]ocaml-ppxlib-dev-0.32.0-r0.apk2024-10-25 22:12 16M 
[   ]ocaml-ppxlib-0.32.0-r0.apk2024-10-25 22:12 12M 
[   ]ocaml-ppx_sexp_conv-dev-0.16.0-r0.apk2024-10-25 22:12 1.1M 
[   ]ocaml-ppx_sexp_conv-0.16.0-r0.apk2024-10-25 22:12 560K 
[   ]ocaml-ppx_deriving-dev-5.3.0-r0.apk2024-10-25 22:12 1.3M 
[   ]ocaml-ppx_deriving-5.3.0-r0.apk2024-10-25 22:12 4.7M 
[   ]ocaml-ppx_derivers-dev-1.2.1-r2.apk2024-10-25 22:12 11K 
[   ]ocaml-ppx_derivers-1.2.1-r2.apk2024-10-25 22:12 9.5K 
[   ]ocaml-ppx_blob-dev-0.8.0-r0.apk2024-10-25 22:12 19K 
[   ]ocaml-ppx_blob-0.8.0-r0.apk2024-10-25 22:12 3.5M 
[   ]ocaml-pcre-dev-7.5.0-r4.apk2024-10-25 22:12 302K 
[   ]ocaml-pcre-7.5.0-r4.apk2024-10-25 22:12 152K 
[   ]ocaml-pbkdf-dev-1.2.0-r2.apk2024-10-25 22:12 25K 
[   ]ocaml-pbkdf-1.2.0-r2.apk2024-10-25 22:12 17K 
[   ]ocaml-parsexp-dev-0.16.0-r0.apk2024-10-25 22:12 927K 
[   ]ocaml-parsexp-0.16.0-r0.apk2024-10-25 22:12 380K 
[   ]ocaml-ounit-dev-2.2.7-r3.apk2024-10-25 22:12 1.0M 
[   ]ocaml-ounit-2.2.7-r3.apk2024-10-25 22:12 530K 
[   ]ocaml-otr-dev-0.3.10-r2.apk2024-10-25 22:12 519K 
[   ]ocaml-otr-0.3.10-r2.apk2024-10-25 22:12 278K 
[   ]ocaml-otoml-dev-1.0.5-r0.apk2024-10-25 22:12 775K 
[   ]ocaml-otoml-1.0.5-r0.apk2024-10-25 22:12 437K 
[   ]ocaml-omod-dev-0.0.3-r3.apk2024-10-25 22:12 211K 
[   ]ocaml-omod-bin-0.0.3-r3.apk2024-10-25 22:12 2.5M 
[   ]ocaml-omod-0.0.3-r3.apk2024-10-25 22:12 327K 
[   ]ocaml-omake-doc-0.10.6-r0.apk2024-10-25 22:12 8.0K 
[   ]ocaml-omake-0.10.6-r0.apk2024-10-25 22:12 1.5M 
[   ]ocaml-ocplib-endian-dev-1.2-r3.apk2024-10-25 22:12 259K 
[   ]ocaml-ocplib-endian-1.2-r3.apk2024-10-25 22:12 157K 
[   ]ocaml-ocp-index-dev-1.3.6-r0.apk2024-10-25 22:12 529K 
[   ]ocaml-ocp-index-1.3.6-r0.apk2024-10-25 22:12 451K 
[   ]ocaml-ocp-indent-dev-1.8.2-r2.apk2024-10-25 22:12 638K 
[   ]ocaml-ocp-indent-1.8.2-r2.apk2024-10-25 22:12 531K 
[   ]ocaml-ocf-dev-0.8.0-r3.apk2024-10-25 22:12 318K 
[   ]ocaml-ocf-0.8.0-r3.apk2024-10-25 22:12 7.4M 
[   ]ocaml-obuild-0.1.11-r0.apk2024-10-25 22:12 1.0M 
[   ]ocaml-num-dev-1.4-r3.apk2024-10-25 22:12 92K 
[   ]ocaml-num-1.4-r3.apk2024-10-25 22:12 255K 
[   ]ocaml-notty-dev-0.2.3-r0.apk2024-10-25 22:12 610K 
[   ]ocaml-notty-0.2.3-r0.apk2024-10-25 22:12 308K 
[   ]ocaml-mtime-dev-1.4.0-r2.apk2024-10-25 22:12 47K 
[   ]ocaml-mtime-1.4.0-r2.apk2024-10-25 22:12 49K 
[   ]ocaml-mqtt-dev-0.2.2-r0.apk2024-10-25 22:12 256K 
[   ]ocaml-mqtt-0.2.2-r0.apk2024-10-25 22:12 155K 
[   ]ocaml-mmap-dev-1.2.0-r3.apk2024-10-25 22:12 6.5K 
[   ]ocaml-mmap-1.2.0-r3.apk2024-10-25 22:12 7.2K 
[   ]ocaml-mirage-time-dev-3.0.0-r4.apk2024-10-25 22:12 8.3K 
[   ]ocaml-mirage-time-3.0.0-r4.apk2024-10-25 22:12 12K 
[   ]ocaml-mirage-random-dev-3.0.0-r3.apk2024-10-25 22:12 5.4K 
[   ]ocaml-mirage-random-3.0.0-r3.apk2024-10-25 22:12 7.1K 
[   ]ocaml-mirage-profile-dev-0.9.1-r3.apk2024-10-25 22:12 32K 
[   ]ocaml-mirage-profile-0.9.1-r3.apk2024-10-25 22:12 24K 
[   ]ocaml-mirage-net-dev-4.0.0-r3.apk2024-10-25 22:12 20K 
[   ]ocaml-mirage-net-4.0.0-r3.apk2024-10-25 22:12 12K 
[   ]ocaml-mirage-kv-dev-4.0.1-r3.apk2024-10-25 22:12 43K 
[   ]ocaml-mirage-kv-4.0.1-r3.apk2024-10-25 22:12 20K 
[   ]ocaml-mirage-flow-dev-3.0.0-r3.apk2024-10-25 22:12 193K 
[   ]ocaml-mirage-flow-3.0.0-r3.apk2024-10-25 22:12 108K 
[   ]ocaml-mirage-crypto-dev-0.10.6-r3.apk2024-10-25 22:12 5.4M 
[   ]ocaml-mirage-crypto-0.10.6-r3.apk2024-10-25 22:12 1.2M 
[   ]ocaml-mirage-clock-dev-4.2.0-r2.apk2024-10-25 22:12 35K 
[   ]ocaml-mirage-clock-4.2.0-r2.apk2024-10-25 22:12 30K 
[   ]ocaml-mikmatch-1.0.9-r2.apk2024-10-25 22:12 144K 
[   ]ocaml-mew_vi-dev-0.5.0-r3.apk2024-10-25 22:12 294K 
[   ]ocaml-mew_vi-0.5.0-r3.apk2024-10-25 22:12 182K 
[   ]ocaml-mew-dev-0.1.0-r3.apk2024-10-25 22:12 96K 
[   ]ocaml-mew-0.1.0-r3.apk2024-10-25 22:12 69K 
[   ]ocaml-metrics-dev-0.4.0-r3.apk2024-10-25 22:12 448K 
[   ]ocaml-metrics-0.4.0-r3.apk2024-10-25 22:12 242K 
[   ]ocaml-merlin-extend-dev-0.6.1-r2.apk2024-10-25 22:12 91K 
[   ]ocaml-merlin-extend-0.6.1-r2.apk2024-10-25 22:12 49K 
[   ]ocaml-menhir-doc-20220210-r2.apk2024-10-25 22:12 4.0K 
[   ]ocaml-menhir-dev-20220210-r2.apk2024-10-25 22:12 893K 
[   ]ocaml-menhir-20220210-r2.apk2024-10-25 22:12 1.8M 
[   ]ocaml-markup-dev-1.0.3-r3.apk2024-10-25 22:12 2.1M 
[   ]ocaml-markup-1.0.3-r3.apk2024-10-25 22:12 1.0M 
[   ]ocaml-magic-mime-dev-1.3.1-r0.apk2024-10-25 22:12 311K 
[   ]ocaml-magic-mime-1.3.1-r0.apk2024-10-25 22:12 210K 
[   ]ocaml-lwt_ssl-dev-1.2.0-r0.apk2024-10-25 22:12 47K 
[   ]ocaml-lwt_ssl-1.2.0-r0.apk2024-10-25 22:12 29K 
[   ]ocaml-lwt_react-5.7.0-r0.apk2024-10-25 22:12 119K 
[   ]ocaml-lwt_ppx-5.7.0-r0.apk2024-10-25 22:12 3.5M 
[   ]ocaml-lwt_log-dev-1.1.1-r5.apk2024-10-25 22:12 255K 
[   ]ocaml-lwt_log-1.1.1-r5.apk2024-10-25 22:12 131K 
[   ]ocaml-lwt-dllist-dev-1.0.1-r3.apk2024-10-25 22:12 40K 
[   ]ocaml-lwt-dllist-1.0.1-r3.apk2024-10-25 22:12 23K 
[   ]ocaml-lwt-dev-5.7.0-r0.apk2024-10-25 22:12 3.2M 
[   ]ocaml-lwt-5.7.0-r0.apk2024-10-25 22:12 1.2M 
[   ]ocaml-lwd-dev-0.3-r0.apk2024-10-25 22:12 1.0M 
[   ]ocaml-lwd-0.3-r0.apk2024-10-25 22:12 486K 
[   ]ocaml-lru-dev-0.3.0-r2.apk2024-10-25 22:12 162K 
[   ]ocaml-lru-0.3.0-r2.apk2024-10-25 22:12 75K 
[   ]ocaml-logs-dev-0.7.0-r3.apk2024-10-25 22:12 86K 
[   ]ocaml-logs-0.7.0-r3.apk2024-10-25 22:12 115K 
[   ]ocaml-libvirt-doc-0.6.1.7-r0.apk2024-10-25 22:12 14K 
[   ]ocaml-libvirt-dev-0.6.1.7-r0.apk2024-10-25 22:12 92K 
[   ]ocaml-libvirt-0.6.1.7-r0.apk2024-10-25 22:12 177K 
[   ]ocaml-lambdasoup-dev-0.7.3-r2.apk2024-10-25 22:12 345K 
[   ]ocaml-lambdasoup-0.7.3-r2.apk2024-10-25 22:12 188K 
[   ]ocaml-lambda-term-doc-3.2.0-r4.apk2024-10-25 22:12 8.8K 
[   ]ocaml-lambda-term-dev-3.2.0-r4.apk2024-10-25 22:12 3.8M 
[   ]ocaml-lambda-term-3.2.0-r4.apk2024-10-25 22:12 3.3M 
[   ]ocaml-labltk-dev-8.06.12-r2.apk2024-10-25 22:12 1.3M 
[   ]ocaml-labltk-8.06.12-r2.apk2024-10-25 22:12 2.9M 
[   ]ocaml-lablgtk3-extras-dev-3.0.1-r2.apk2024-10-25 22:12 1.5M 
[   ]ocaml-lablgtk3-extras-3.0.1-r2.apk2024-10-25 22:12 871K 
[   ]ocaml-lablgtk3-dev-3.1.2-r3.apk2024-10-25 22:12 14M 
[   ]ocaml-lablgtk3-3.1.2-r3.apk2024-10-25 22:12 7.8M 
[   ]ocaml-jsonm-tools-1.0.2-r0.apk2024-10-25 22:12 432K 
[   ]ocaml-jsonm-dev-1.0.2-r0.apk2024-10-25 22:12 65K 
[   ]ocaml-jsonm-1.0.2-r0.apk2024-10-25 22:12 116K 
[   ]ocaml-iso8601-dev-0.2.6-r0.apk2024-10-25 22:12 87K 
[   ]ocaml-iso8601-0.2.6-r0.apk2024-10-25 22:12 52K 
[   ]ocaml-iri-dev-1.0.0-r0.apk2024-10-25 22:12 758K 
[   ]ocaml-iri-1.0.0-r0.apk2024-10-25 22:12 1.8M 
[   ]ocaml-ipaddr-dev-5.3.1-r2.apk2024-10-25 22:12 556K 
[   ]ocaml-ipaddr-5.3.1-r2.apk2024-10-25 22:12 311K 
[   ]ocaml-integers-dev-0.7.0-r2.apk2024-10-25 22:12 271K 
[   ]ocaml-integers-0.7.0-r2.apk2024-10-25 22:12 130K 
[   ]ocaml-hkdf-dev-1.0.4-r2.apk2024-10-25 22:12 18K 
[   ]ocaml-hkdf-1.0.4-r2.apk2024-10-25 22:12 14K 
[   ]ocaml-higlo-dev-0.9-r0.apk2024-10-25 22:12 663K 
[   ]ocaml-higlo-0.9-r0.apk2024-10-25 22:12 4.7M 
[   ]ocaml-hex-dev-1.5.0-r2.apk2024-10-25 22:12 53K 
[   ]ocaml-hex-1.5.0-r2.apk2024-10-25 22:12 29K 
[   ]ocaml-happy-eyeballs-lwt-tools-0.3.0-r3.apk2024-10-25 22:12 2.4M 
[   ]ocaml-happy-eyeballs-lwt-dev-0.3.0-r3.apk2024-10-25 22:12 59K 
[   ]ocaml-happy-eyeballs-lwt-0.3.0-r3.apk2024-10-25 22:12 39K 
[   ]ocaml-happy-eyeballs-dev-0.3.0-r2.apk2024-10-25 22:12 147K 
[   ]ocaml-happy-eyeballs-0.3.0-r2.apk2024-10-25 22:12 83K 
[   ]ocaml-gmap-dev-0.3.0-r2.apk2024-10-25 22:12 74K 
[   ]ocaml-gmap-0.3.0-r2.apk2024-10-25 22:12 36K 
[   ]ocaml-gitlab-dev-0.1.8-r0.apk2024-10-25 22:12 11M 
[   ]ocaml-gitlab-0.1.8-r0.apk2024-10-25 22:12 2.9M 
[   ]ocaml-gettext-doc-0.4.2-r3.apk2024-10-25 22:12 19K 
[   ]ocaml-gettext-dev-0.4.2-r3.apk2024-10-25 22:12 725K 
[   ]ocaml-gettext-0.4.2-r3.apk2024-10-25 22:12 3.2M 
[   ]ocaml-gen-dev-1.1-r1.apk2024-10-25 22:12 608K 
[   ]ocaml-gen-1.1-r1.apk2024-10-25 22:12 320K 
[   ]ocaml-fpath-dev-0.7.3-r2.apk2024-10-25 22:12 100K 
[   ]ocaml-fpath-0.7.3-r2.apk2024-10-25 22:12 137K 
[   ]ocaml-fmt-dev-0.9.0-r2.apk2024-10-25 22:12 123K 
[   ]ocaml-fmt-0.9.0-r2.apk2024-10-25 22:12 188K 
[   ]ocaml-fix-dev-20220121-r2.apk2024-10-25 22:12 430K 
[   ]ocaml-fix-20220121-r2.apk2024-10-25 22:12 165K 
[   ]ocaml-fileutils-doc-0.6.4-r2.apk2024-10-25 22:12 16K 
[   ]ocaml-fileutils-dev-0.6.4-r2.apk2024-10-25 22:12 598K 
[   ]ocaml-fileutils-0.6.4-r2.apk2024-10-25 22:12 309K 
[   ]ocaml-ezxmlm-dev-1.1.0-r0.apk2024-10-25 22:12 52K 
[   ]ocaml-ezxmlm-1.1.0-r0.apk2024-10-25 22:12 32K 
[   ]ocaml-extlib-doc-1.7.9-r2.apk2024-10-25 22:12 11K 
[   ]ocaml-extlib-dev-1.7.9-r2.apk2024-10-25 22:12 1.3M 
[   ]ocaml-extlib-1.7.9-r2.apk2024-10-25 22:12 629K 
[   ]ocaml-ethernet-dev-3.0.0-r3.apk2024-10-25 22:12 83K 
[   ]ocaml-ethernet-3.0.0-r3.apk2024-10-25 22:12 42K 
[   ]ocaml-erm_xmpp-dev-0_git20220404-r2.apk2024-10-25 22:12 1.6M 
[   ]ocaml-erm_xmpp-0_git20220404-r2.apk2024-10-25 22:12 1.3M 
[   ]ocaml-erm_xml-dev-0_git20211229-r2.apk2024-10-25 22:12 770K 
[   ]ocaml-erm_xml-0_git20211229-r2.apk2024-10-25 22:12 574K 
[   ]ocaml-eqaf-dev-0.8-r2.apk2024-10-25 22:12 121K 
[   ]ocaml-eqaf-0.8-r2.apk2024-10-25 22:12 68K 
[   ]ocaml-easy-format-dev-1.3.4-r1.apk2024-10-25 22:12 111K 
[   ]ocaml-easy-format-1.3.4-r1.apk2024-10-25 22:12 60K 
[   ]ocaml-duration-dev-0.2.0-r2.apk2024-10-25 22:12 44K 
[   ]ocaml-duration-0.2.0-r2.apk2024-10-25 22:12 27K 
[   ]ocaml-down-dev-0.1.0-r3.apk2024-10-25 22:12 266K 
[   ]ocaml-down-0.1.0-r3.apk2024-10-25 22:12 584K 
[   ]ocaml-domain-name-dev-0.4.0-r2.apk2024-10-25 22:12 141K 
[   ]ocaml-domain-name-0.4.0-r2.apk2024-10-25 22:12 75K 
[   ]ocaml-dns-tools-6.2.2-r3.apk2024-10-25 22:12 10M 
[   ]ocaml-dns-dev-6.2.2-r3.apk2024-10-25 22:12 4.1M 
[   ]ocaml-dns-6.2.2-r3.apk2024-10-25 22:12 2.2M 
[   ]ocaml-curses-dev-1.0.10-r2.apk2024-10-25 22:12 303K 
[   ]ocaml-curses-1.0.10-r2.apk2024-10-25 22:12 139K 
[   ]ocaml-ctypes-dev-0.20.1-r2.apk2024-10-25 22:12 847K 
[   ]ocaml-ctypes-0.20.1-r2.apk2024-10-25 22:12 867K 
[   ]ocaml-cstruct-dev-6.1.0-r3.apk2024-10-25 22:12 700K 
[   ]ocaml-cstruct-6.1.0-r3.apk2024-10-25 22:12 3.9M 
[   ]ocaml-containers-top-3.7-r2.apk2024-10-25 22:12 23K 
[   ]ocaml-containers-dev-3.7-r2.apk2024-10-25 22:12 6.6M 
[   ]ocaml-containers-3.7-r2.apk2024-10-25 22:12 3.5M 
[   ]ocaml-conduit-dev-6.1.0-r0.apk2024-10-25 22:12 542K 
[   ]ocaml-conduit-6.1.0-r0.apk2024-10-25 22:12 284K 
[   ]ocaml-compiler-libs-repackaged-dev-0.12.4-r3.apk2024-10-25 22:12 104K 
[   ]ocaml-compiler-libs-repackaged-0.12.4-r3.apk2024-10-25 22:12 77K 
[   ]ocaml-cohttp-tools-5.3.1-r0.apk2024-10-25 22:12 7.4M 
[   ]ocaml-cohttp-dev-5.3.1-r0.apk2024-10-25 22:12 1.3M 
[   ]ocaml-cohttp-5.3.1-r0.apk2024-10-25 22:12 664K 
[   ]ocaml-cmdliner-doc-1.1.1-r3.apk2024-10-25 22:12 20K 
[   ]ocaml-cmdliner-dev-1.1.1-r3.apk2024-10-25 22:12 236K 
[   ]ocaml-cmdliner-1.1.1-r3.apk2024-10-25 22:12 462K 
[   ]ocaml-charinfo_width-dev-1.1.0-r3.apk2024-10-25 22:12 188K 
[   ]ocaml-charinfo_width-1.1.0-r3.apk2024-10-25 22:12 102K 
[   ]ocaml-camomile-dev-1.0.2-r3.apk2024-10-25 22:12 2.5M 
[   ]ocaml-camomile-data-1.0.2-r3.apk2024-10-25 22:11 5.1M 
[   ]ocaml-camomile-1.0.2-r3.apk2024-10-25 22:11 1.3M 
[   ]ocaml-camlzip-dev-1.11-r2.apk2024-10-25 22:11 216K 
[   ]ocaml-camlzip-1.11-r2.apk2024-10-25 22:11 114K 
[   ]ocaml-calendar-doc-2.04-r4.apk2024-10-25 22:11 12K 
[   ]ocaml-calendar-dev-2.04-r4.apk2024-10-25 22:11 139K 
[   ]ocaml-calendar-2.04-r4.apk2024-10-25 22:11 212K 
[   ]ocaml-cairo2-dev-0.6.2-r2.apk2024-10-25 22:11 434K 
[   ]ocaml-cairo2-0.6.2-r2.apk2024-10-25 22:11 166K 
[   ]ocaml-ca-certs-nss-tools-3.89.1-r1.apk2024-10-25 22:11 1.5M 
[   ]ocaml-ca-certs-nss-dev-3.89.1-r1.apk2024-10-25 22:11 447K 
[   ]ocaml-ca-certs-nss-3.89.1-r1.apk2024-10-25 22:11 395K 
[   ]ocaml-ca-certs-dev-0.2.2-r2.apk2024-10-25 22:11 40K 
[   ]ocaml-ca-certs-0.2.2-r2.apk2024-10-25 22:11 28K 
[   ]ocaml-bos-dev-0.2.1-r2.apk2024-10-25 22:11 345K 
[   ]ocaml-bos-0.2.1-r2.apk2024-10-25 22:11 455K 
[   ]ocaml-bitstring-dev-4.1.0-r3.apk2024-10-25 22:11 570K 
[   ]ocaml-bitstring-4.1.0-r3.apk2024-10-25 22:11 3.8M 
[   ]ocaml-bisect_ppx-dev-2.8.3-r0.apk2024-10-25 22:11 545K 
[   ]ocaml-bisect_ppx-2.8.3-r0.apk2024-10-25 22:11 4.3M 
[   ]ocaml-biniou-dev-1.2.1-r5.apk2024-10-25 22:11 364K 
[   ]ocaml-biniou-1.2.1-r5.apk2024-10-25 22:11 552K 
[   ]ocaml-bigstringaf-dev-0.9.0-r2.apk2024-10-25 22:11 91K 
[   ]ocaml-bigstringaf-0.9.0-r2.apk2024-10-25 22:11 47K 
[   ]ocaml-bigarray-compat-dev-1.1.0-r2.apk2024-10-25 22:11 12K 
[   ]ocaml-bigarray-compat-1.1.0-r2.apk2024-10-25 22:11 13K 
[   ]ocaml-base64-dev-3.5.0-r2.apk2024-10-25 22:11 175K 
[   ]ocaml-base64-3.5.0-r2.apk2024-10-25 22:11 88K 
[   ]ocaml-base-dev-0.16.3-r0.apk2024-10-25 22:11 9.6M 
[   ]ocaml-base-0.16.3-r0.apk2024-10-25 22:11 4.6M 
[   ]ocaml-atd-dev-2.15.0-r0.apk2024-10-25 22:11 1.9M 
[   ]ocaml-atd-2.15.0-r0.apk2024-10-25 22:11 6.5M 
[   ]ocaml-astring-dev-0.8.5-r2.apk2024-10-25 22:11 180K 
[   ]ocaml-astring-0.8.5-r2.apk2024-10-25 22:11 283K 
[   ]ocaml-asn1-combinators-dev-0.2.6-r2.apk2024-10-25 22:11 572K 
[   ]ocaml-asn1-combinators-0.2.6-r2.apk2024-10-25 22:11 313K 
[   ]ocaml-arp-dev-3.0.0-r3.apk2024-10-25 22:11 158K 
[   ]ocaml-arp-3.0.0-r3.apk2024-10-25 22:11 83K 
[   ]ocaml-angstrom-dev-0.16.0-r0.apk2024-10-25 22:11 340K 
[   ]ocaml-angstrom-0.16.0-r0.apk2024-10-25 22:11 175K 
[   ]ocaml-amqp-client-dev-2.3.0-r0.apk2024-10-25 22:11 1.0M 
[   ]ocaml-amqp-client-2.3.0-r0.apk2024-10-25 22:11 598K 
[   ]ocaml-alcotest-dev-1.5.0-r4.apk2024-10-25 22:11 821K 
[   ]ocaml-alcotest-1.5.0-r4.apk2024-10-25 22:11 457K 
[   ]objconv-2.52_git20210213-r2.apk2024-10-25 22:11 264K 
[   ]oauth2-proxy-openrc-7.6.0-r6.apk2024-10-25 22:11 2.1K 
[   ]oauth2-proxy-7.6.0-r6.apk2024-10-25 22:11 7.9M 
[   ]nzbget-openrc-24.3-r0.apk2024-10-25 22:11 2.1K 
[   ]nzbget-24.3-r0.apk2024-10-25 22:11 4.5M 
[   ]nymphcast-mediaserver-nftables-0.1-r3.apk2024-10-25 22:11 1.7K 
[   ]nymphcast-mediaserver-0.1-r3.apk2024-10-25 22:11 140K 
[   ]nwg-panel-pyc-0.9.50-r0.apk2024-11-05 14:29 244K 
[   ]nwg-panel-doc-0.9.50-r0.apk2024-11-05 14:29 4.1K 
[   ]nwg-panel-0.9.50-r0.apk2024-11-05 14:29 269K 
[   ]nwg-dock-0.3.9-r6.apk2024-10-25 22:11 1.6M 
[   ]nwg-displays-pyc-0.3.13-r1.apk2024-10-25 22:11 35K 
[   ]nwg-displays-0.3.13-r1.apk2024-10-25 22:11 24K 
[   ]nwg-bar-0.1.6-r5.apk2024-10-25 22:11 1.5M 
[   ]nvtop-doc-3.1.0-r0.apk2024-10-25 22:11 3.5K 
[   ]nvtop-3.1.0-r0.apk2024-10-25 22:11 58K 
[   ]nvimpager-zsh-completion-0.12.0-r0.apk2024-10-25 22:11 1.8K 
[   ]nvimpager-doc-0.12.0-r0.apk2024-10-25 22:11 4.4K 
[   ]nvimpager-0.12.0-r0.apk2024-10-25 22:11 13K 
[   ]nvim-web-devicons-doc-0.100_git20241011-r0.apk2024-11-11 04:58 7.1K 
[   ]nvim-web-devicons-0.100_git20241011-r0.apk2024-11-11 04:58 27K 
[   ]nvim-treesitter-doc-0.9.3-r0.apk2024-11-04 04:05 30K 
[   ]nvim-treesitter-0.9.3-r0.apk2024-11-04 04:05 388K 
[   ]nvim-plenary-doc-0.1.4_git20240917-r0.apk2024-11-11 05:07 10K 
[   ]nvim-plenary-0.1.4_git20240917-r0.apk2024-11-11 05:07 102K 
[   ]nvim-packer-doc-0.0.0_git20220910-r1.apk2024-10-25 22:11 21K 
[   ]nvim-packer-0.0.0_git20220910-r1.apk2024-10-25 22:11 46K 
[   ]nvim-lualine-doc-0.0.0_git20241101-r0.apk2024-11-14 00:09 31K 
[   ]nvim-lualine-0.0.0_git20241101-r0.apk2024-11-14 00:09 60K 
[   ]nvim-gruvbox-doc-0.0.0_git20221212-r1.apk2024-10-25 22:11 2.8K 
[   ]nvim-gruvbox-0.0.0_git20221212-r1.apk2024-10-25 22:11 10K 
[   ]nvim-cmp-path-doc-0.0.0_git20221002-r1.apk2024-10-25 22:11 2.0K 
[   ]nvim-cmp-path-0.0.0_git20221002-r1.apk2024-10-25 22:11 3.8K 
[   ]nvim-cmp-luasnip-doc-0.0.0_git20220501-r1.apk2024-10-25 22:11 2.0K 
[   ]nvim-cmp-luasnip-0.0.0_git20220501-r1.apk2024-10-25 22:11 3.5K 
[   ]nvim-cmp-lsp-doc-0.0.0_git20220516-r1.apk2024-10-25 22:11 2.6K 
[   ]nvim-cmp-lsp-0.0.0_git20220516-r1.apk2024-10-25 22:11 3.5K 
[   ]nvim-cmp-doc-0.0.0_git20221011-r1.apk2024-10-25 22:11 10K 
[   ]nvim-cmp-cmdline-doc-0.0.0_git20220902-r1.apk2024-10-25 22:11 1.8K 
[   ]nvim-cmp-cmdline-0.0.0_git20220902-r1.apk2024-10-25 22:11 3.3K 
[   ]nvim-cmp-buffer-doc-0.0.0_git20220810-r1.apk2024-10-25 22:11 4.2K 
[   ]nvim-cmp-buffer-0.0.0_git20220810-r1.apk2024-10-25 22:11 7.8K 
[   ]nvim-cmp-0.0.0_git20221011-r1.apk2024-10-25 22:11 55K 
[   ]nuzzle-doc-1.5-r0.apk2024-10-25 22:11 3.3K 
[   ]nuzzle-1.5-r0.apk2024-10-25 22:11 11K 
[   ]numbat-doc-1.9.0-r0.apk2024-10-25 22:11 25K 
[   ]numbat-1.9.0-r0.apk2024-10-25 22:11 1.1M 
[   ]nullmailer-openrc-2.2-r4.apk2024-10-25 22:11 1.6K 
[   ]nullmailer-doc-2.2-r4.apk2024-10-25 22:11 10K 
[   ]nullmailer-2.2-r4.apk2024-10-25 22:11 139K 
[   ]nuklear-doc-4.12.0-r0.apk2024-10-25 22:11 42K 
[   ]nuklear-4.12.0-r0.apk2024-10-25 22:11 220K 
[   ]ntpd-rs-openrc-1.3.0-r0.apk2024-10-25 22:11 1.9K 
[   ]ntpd-rs-doc-1.3.0-r0.apk2024-10-25 22:11 23K 
[   ]ntpd-rs-1.3.0-r0.apk2024-10-25 22:11 2.4M 
[   ]nsq-1.3.0-r5.apk2024-10-25 22:11 23M 
[   ]nsnake-doc-3.0.0-r0.apk2024-10-25 22:11 2.6K 
[   ]nsnake-3.0.0-r0.apk2024-10-25 22:11 9.8K 
[   ]nsh-dbg-0.4.2-r1.apk2024-10-25 22:11 3.4M 
[   ]nsh-0.4.2-r1.apk2024-10-25 22:11 627K 
[   ]notification-daemon-3.20.0-r0.apk2024-10-25 22:11 62K 
[   ]normaliz-libs-3.10.4-r0.apk2024-10-30 13:50 2.9M 
[   ]normaliz-dev-3.10.4-r0.apk2024-10-30 13:50 73K 
[   ]normaliz-3.10.4-r0.apk2024-10-30 13:50 46K 
[   ]nom-2.6.1-r0.apk2024-10-25 22:11 7.0M 
[   ]noice-doc-0.8-r1.apk2024-10-25 22:11 3.4K 
[   ]noice-0.8-r1.apk2024-10-25 22:11 10K 
[   ]noggin-model-lightweight-0.1-r0.apk2024-10-25 22:11 1.7M 
[   ]noggin-model-0.1-r0.apk2024-10-25 22:11 12M 
[   ]noblenote-1.2.1-r1.apk2024-10-25 22:11 418K 
[   ]nmon-16q-r0.apk2024-10-25 22:11 74K 
[   ]nmap-parse-output-doc-1.5.1-r0.apk2024-10-25 22:11 807K 
[   ]nmap-parse-output-bash-completion-1.5.1-r0.apk2024-10-25 22:11 1.7K 
[   ]nmap-parse-output-1.5.1-r0.apk2024-10-25 22:11 21K 
[   ]nm-tray-lang-0.5.0-r0.apk2024-10-25 22:11 27K 
[   ]nm-tray-0.5.0-r0.apk2024-10-25 22:11 106K 
[   ]nlopt-octave-2.8.0-r0.apk2024-10-25 22:11 30K 
[   ]nlopt-guile-2.8.0-r0.apk2024-10-25 22:11 40K 
[   ]nlopt-doc-2.8.0-r0.apk2024-10-25 22:11 23K 
[   ]nlopt-dev-2.8.0-r0.apk2024-10-25 22:11 12K 
[   ]nlopt-2.8.0-r0.apk2024-10-25 22:11 193K 
[   ]nkk-doc-0_git20221010-r0.apk2024-10-25 22:11 7.0K 
[   ]nkk-dev-0_git20221010-r0.apk2024-10-25 22:11 2.9K 
[   ]nkk-0_git20221010-r0.apk2024-10-25 22:11 16K 
[   ]nixpacks-0.1.7-r1.apk2024-10-25 22:11 904K 
[   ]nitrocli-doc-0.4.1-r3.apk2024-10-25 22:11 8.8K 
[   ]nitrocli-bash-completion-0.4.1-r3.apk2024-10-25 22:11 3.2K 
[   ]nitrocli-0.4.1-r3.apk2024-10-25 22:11 438K 
[   ]nitro-dev-2.7_beta8-r2.apk2024-10-25 22:11 190K 
[   ]nitro-2.7_beta8-r2.apk2024-10-25 22:11 536K 
[   ]nil-2023.08.09-r0.apk2024-10-25 22:11 1.7M 
[   ]nicotine-plus-pyc-3.3.4-r0.apk2024-10-25 22:11 2.2M 
[   ]nicotine-plus-lang-3.3.4-r0.apk2024-10-25 22:11 666K 
[   ]nicotine-plus-doc-3.3.4-r0.apk2024-10-25 22:11 2.4K 
[   ]nicotine-plus-3.3.4-r0.apk2024-10-25 22:11 1.5M 
[   ]nginx-ultimate-bad-bot-blocker-doc-4.2023.10.4046-r1.apk2024-10-25 22:11 21K 
[   ]nginx-ultimate-bad-bot-blocker-4.2023.10.4046-r1.apk2024-10-25 22:11 713K 
[   ]nfoview-doc-2.0.1-r0.apk2024-10-25 22:11 8.0K 
[   ]nfoview-2.0.1-r0.apk2024-10-25 22:11 39K 
[   ]nextpnr-ice40-0.7-r0.apk2024-10-25 22:11 69M 
[   ]nextpnr-gowin-0.7-r0.apk2024-10-25 22:11 1.5M 
[   ]nextpnr-generic-0.7-r0.apk2024-10-25 22:11 781K 
[   ]nextpnr-ecp5-0.7-r0.apk2024-10-25 22:11 25M 
[   ]nextpnr-0.7-r0.apk2024-10-25 22:11 1.4K 
[   ]newsyslog-doc-1.2.0.91-r1.apk2024-10-25 22:11 24K 
[   ]newsyslog-1.2.0.91-r1.apk2024-10-25 22:11 17K 
[   ]netsurf-framebuffer-doc-3.11-r0.apk2024-10-25 22:11 3.9K 
[   ]netsurf-framebuffer-3.11-r0.apk2024-10-25 22:11 2.8M 
[   ]netsurf-doc-3.11-r0.apk2024-10-25 22:11 4.4K 
[   ]netsurf-3.11-r0.apk2024-10-25 22:11 2.1M 
[   ]netsed-1.3-r3.apk2024-10-25 22:11 11K 
[   ]netscanner-doc-0.5.1-r1.apk2024-10-25 22:11 3.3K 
[   ]netscanner-0.5.1-r1.apk2024-10-25 22:11 3.6M 
[   ]netdiscover-doc-0.10-r0.apk2024-10-25 22:11 22K 
[   ]netdiscover-0.10-r0.apk2024-10-25 22:11 405K 
[   ]neofetch-doc-7.1.0-r2.apk2024-11-06 22:46 6.2K 
[   ]neofetch-7.1.0-r2.apk2024-11-06 22:46 86K 
[   ]nemo-gtkhash-plugin-1.5-r0.apk2024-10-25 22:11 26K 
[   ]neko-doc-2.3.0-r0.apk2024-11-20 23:26 20K 
[   ]neko-dev-2.3.0-r0.apk2024-11-20 23:26 10K 
[   ]neko-2.3.0-r0.apk2024-11-20 23:26 450K 
[   ]neard-openrc-0.19-r0.apk2024-10-25 22:11 1.7K 
[   ]neard-doc-0.19-r0.apk2024-10-25 22:11 5.6K 
[   ]neard-dev-0.19-r0.apk2024-10-25 22:11 11K 
[   ]neard-0.19-r0.apk2024-10-25 22:11 134K 
[   ]ndpi-dev-4.10-r0.apk2024-10-25 22:11 898K 
[   ]ndpi-4.10-r0.apk2024-10-25 22:11 1.4M 
[   ]nbsdgames-doc-5-r0.apk2024-10-25 22:11 9.4K 
[   ]nbsdgames-5-r0.apk2024-10-25 22:11 105K 
[   ]nb-zsh-completion-7.12.1-r0.apk2024-10-25 22:11 3.0K 
[   ]nb-full-7.12.1-r0.apk2024-10-25 22:11 1.5K 
[   ]nb-fish-completion-7.12.1-r0.apk2024-10-25 22:11 2.8K 
[   ]nb-doc-7.12.1-r0.apk2024-10-25 22:11 76K 
[   ]nb-bash-completion-7.12.1-r0.apk2024-10-25 22:11 3.0K 
[   ]nb-7.12.1-r0.apk2024-10-25 22:11 149K 
[   ]nauty-libs-2.8.9-r0.apk2024-10-25 22:11 2.1M 
[   ]nauty-dev-2.8.9-r0.apk2024-10-25 22:11 2.9M 
[   ]nauty-2.8.9-r0.apk2024-10-25 22:11 5.6M 
[   ]nano-hare-0_git20231021-r0.apk2024-10-25 22:11 2.2K 
[   ]n30f-2.0-r3.apk2024-10-25 22:11 6.8K 
[   ]mypaint-pyc-2.0.1-r1.apk2024-10-25 22:11 1.1M 
[   ]mypaint-lang-2.0.1-r1.apk2024-10-25 22:11 1.2M 
[   ]mypaint-2.0.1-r1.apk2024-10-25 22:11 3.9M 
[   ]mxclient-0_git20211002-r1.apk2024-10-25 22:11 81K 
[   ]musikcube-plugin-taglibreader-3.0.4-r0.apk2024-10-25 22:11 38K 
[   ]musikcube-plugin-supereqdsp-3.0.4-r0.apk2024-10-25 22:11 29K 
[   ]musikcube-plugin-stockencoders-3.0.4-r0.apk2024-10-25 22:11 22K 
[   ]musikcube-plugin-server-3.0.4-r0.apk2024-10-25 22:11 409K 
[   ]musikcube-plugin-openmpt-3.0.4-r0.apk2024-10-25 22:11 35K 
[   ]musikcube-plugin-mpris-3.0.4-r0.apk2024-10-25 22:11 24K 
[   ]musikcube-plugin-httpdatastream-3.0.4-r0.apk2024-10-25 22:11 88K 
[   ]musikcube-plugin-all-3.0.4-r0.apk2024-10-25 22:11 1.5K 
[   ]musikcube-dev-3.0.4-r0.apk2024-10-25 22:11 21K 
[   ]musikcube-3.0.4-r0.apk2024-10-25 22:11 2.4M 
[   ]muon-doc-0.3.0-r0.apk2024-10-25 22:11 73K 
[   ]muon-0.3.0-r0.apk2024-10-25 22:11 270K 
[   ]mtree-portable-doc-0_git20220519-r0.apk2024-10-25 22:11 12K 
[   ]mtree-portable-0_git20220519-r0.apk2024-10-25 22:11 24K 
[   ]msr-tools-1.3-r1.apk2024-10-25 22:11 10K 
[   ]mspdebug-doc-0.25-r1.apk2024-10-25 22:11 14K 
[   ]mspdebug-0.25-r1.apk2024-10-25 22:11 196K 
[   ]msh-openrc-2.5.0-r7.apk2024-10-25 22:11 2.0K 
[   ]msh-2.5.0-r7.apk2024-10-25 22:11 2.7M 
[   ]mrsh-libs-0_git20210518-r1.apk2024-10-25 22:11 68K 
[   ]mrsh-dev-0_git20210518-r1.apk2024-10-25 22:11 10K 
[   ]mrsh-dbg-0_git20210518-r1.apk2024-10-25 22:11 188K 
[   ]mrsh-0_git20210518-r1.apk2024-10-25 22:11 5.7K 
[   ]mqtt2prometheus-0.1.7-r11.apk2024-10-25 22:11 4.2M 
[   ]mpvpaper-doc-1.7-r0.apk2024-10-25 22:11 3.7K 
[   ]mpvpaper-1.7-r0.apk2024-10-25 22:11 29K 
[   ]mpv-sponsorblock-2.1.0-r0.apk2024-10-25 22:11 1.4M 
[   ]mpop-vim-1.4.20-r1.apk2024-10-25 22:11 2.7K 
[   ]mpop-lang-1.4.20-r1.apk2024-10-25 22:11 130K 
[   ]mpop-doc-1.4.20-r1.apk2024-10-25 22:11 33K 
[   ]mpop-1.4.20-r1.apk2024-10-25 22:11 70K 
[   ]mpdris2-rs-doc-0.2.3-r0.apk2024-10-25 22:11 14K 
[   ]mpdris2-rs-0.2.3-r0.apk2024-10-25 22:11 745K 
[   ]mpdris2-lang-0.9.1-r3.apk2024-10-25 22:11 2.3K 
[   ]mpdris2-doc-0.9.1-r3.apk2024-10-25 22:11 15K 
[   ]mpdris2-0.9.1-r3.apk2024-10-25 22:11 15K 
[   ]mpdcron-zsh-completion-0.3-r1.apk2024-10-25 22:11 2.9K 
[   ]mpdcron-doc-0.3-r1.apk2024-10-25 22:11 13K 
[   ]mpdcron-dev-0.3-r1.apk2024-10-25 22:11 59K 
[   ]mpdcron-0.3-r1.apk2024-10-25 22:11 104K 
[   ]mp3val-0.1.8-r1.apk2024-10-25 22:11 14K 
[   ]mp3gain-1.6.2-r2.apk2024-10-25 22:11 32K 
[   ]motion-openrc-4.7.0-r0.apk2024-10-25 22:11 2.2K 
[   ]motion-lang-4.7.0-r0.apk2024-10-25 22:11 471K 
[   ]motion-doc-4.7.0-r0.apk2024-10-25 22:11 140K 
[   ]motion-4.7.0-r0.apk2024-10-25 22:11 140K 
[   ]morph-browser-lang-1.1.1-r0.apk2024-10-25 22:11 306K 
[   ]morph-browser-1.1.1-r0.apk2024-10-25 22:11 579K 
[   ]moosefs-static-3.0.117-r2.apk2024-10-25 22:11 531K 
[   ]moosefs-metalogger-openrc-3.0.117-r2.apk2024-10-25 22:11 1.6K 
[   ]moosefs-metalogger-3.0.117-r2.apk2024-10-25 22:11 34K 
[   ]moosefs-master-openrc-3.0.117-r2.apk2024-10-25 22:11 1.6K 
[   ]moosefs-master-3.0.117-r2.apk2024-10-25 22:11 285K 
[   ]moosefs-doc-3.0.117-r2.apk2024-10-25 22:11 64K 
[   ]moosefs-client-3.0.117-r2.apk2024-10-25 22:11 286K 
[   ]moosefs-chunkserver-openrc-3.0.117-r2.apk2024-10-25 22:11 1.6K 
[   ]moosefs-chunkserver-3.0.117-r2.apk2024-10-25 22:11 157K 
[   ]moosefs-cgiserv-openrc-3.0.117-r2.apk2024-10-25 22:11 1.9K 
[   ]moosefs-cgiserv-3.0.117-r2.apk2024-10-25 22:11 7.7K 
[   ]moosefs-cgi-3.0.117-r2.apk2024-10-25 22:11 63K 
[   ]moosefs-3.0.117-r2.apk2024-10-25 22:11 196K 
[   ]moon-buggy-doc-1.0.51-r1.apk2024-10-25 22:11 7.1K 
[   ]moon-buggy-1.0.51-r1.apk2024-10-25 22:11 37K 
[   ]mongo-cxx-driver-dev-3.8.0-r0.apk2024-10-25 22:11 89K 
[   ]mongo-cxx-driver-3.8.0-r0.apk2024-10-25 22:11 202K 
[   ]monetdb-doc-11.33.11-r4.apk2024-10-25 22:11 321K 
[   ]monetdb-dev-11.33.11-r4.apk2024-10-25 22:11 77K 
[   ]monetdb-11.33.11-r4.apk2024-10-25 22:11 2.2M 
[   ]moka-icon-theme-5.4.0-r2.apk2024-10-25 22:11 114M 
[   ]moe-doc-1.14-r0.apk2024-10-25 22:11 19K 
[   ]moe-1.14-r0.apk2024-10-25 22:11 109K 
[   ]modem-manager-gui-lang-0.0.20-r0.apk2024-10-25 22:11 129K 
[   ]modem-manager-gui-doc-0.0.20-r0.apk2024-10-25 22:11 3.9M 
[   ]modem-manager-gui-0.0.20-r0.apk2024-10-25 22:11 373K 
[   ]mod_dnssd-0.6-r0.apk2024-10-25 22:11 8.7K 
[   ]mobpass-pyc-0.2-r6.apk2024-10-25 22:11 5.2K 
[   ]mobpass-0.2-r6.apk2024-10-25 22:11 18K 
[   ]mnamer-pyc-2.5.5-r1.apk2024-10-25 22:11 60K 
[   ]mnamer-2.5.5-r1.apk2024-10-25 22:11 32K 
[   ]mmtc-0.3.2-r0.apk2024-10-25 22:11 507K 
[   ]mml-zsh-completion-1.0.0-r0.apk2024-10-25 22:11 2.8K 
[   ]mml-fish-completion-1.0.0-r0.apk2024-10-25 22:11 2.3K 
[   ]mml-doc-1.0.0-r0.apk2024-10-25 22:11 3.9K 
[   ]mml-bash-completion-1.0.0-r0.apk2024-10-25 22:11 2.3K 
[   ]mml-1.0.0-r0.apk2024-10-25 22:11 864K 
[   ]mmix-0_git20221025-r0.apk2024-10-25 22:11 150K 
[   ]mm-doc-1.4.2-r1.apk2024-10-25 22:11 14K 
[   ]mm-dev-1.4.2-r1.apk2024-10-25 22:11 13K 
[   ]mm-common-doc-1.0.5-r0.apk2024-10-25 22:11 32K 
[   ]mm-common-1.0.5-r0.apk2024-10-25 22:11 473K 
[   ]mm-1.4.2-r1.apk2024-10-25 22:11 8.7K 
[   ]mlxl-0.1-r0.apk2024-10-25 22:11 6.4K 
[   ]mktorrent-borg-doc-0.9.9-r1.apk2024-10-25 22:11 2.5K 
[   ]mktorrent-borg-0.9.9-r1.apk2024-10-25 22:11 11K 
[   ]mkosi-pyc-24.3-r0.apk2024-10-25 22:11 336K 
[   ]mkosi-24.3-r0.apk2024-10-25 22:11 212K 
[   ]mkg3a-doc-0.5.0-r1.apk2024-10-25 22:11 3.1K 
[   ]mkg3a-0.5.0-r1.apk2024-10-25 22:11 15K 
[   ]mkdocs-windmill-pyc-1.0.5-r4.apk2024-10-25 22:11 1.8K 
[   ]mkdocs-windmill-1.0.5-r4.apk2024-10-25 22:11 944K 
[   ]mkdocs-rtd-dropdown-pyc-1.0.2-r5.apk2024-10-25 22:11 1.8K 
[   ]mkdocs-rtd-dropdown-1.0.2-r5.apk2024-10-25 22:11 248K 
[   ]mkdocs-ivory-pyc-0.4.6-r5.apk2024-10-25 22:11 1.8K 
[   ]mkdocs-ivory-0.4.6-r5.apk2024-10-25 22:11 11K 
[   ]mkdocs-gitbook-pyc-0.0.1-r5.apk2024-10-25 22:11 1.8K 
[   ]mkdocs-gitbook-0.0.1-r5.apk2024-10-25 22:11 644K 
[   ]mkdocs-cluster-pyc-0.0.9-r5.apk2024-10-25 22:11 1.8K 
[   ]mkdocs-cluster-0.0.9-r5.apk2024-10-25 22:11 651K 
[   ]mkdocs-cinder-pyc-1.2.0-r5.apk2024-10-25 22:11 1.8K 
[   ]mkdocs-cinder-1.2.0-r5.apk2024-10-25 22:11 249K 
[   ]mkdocs-bootswatch-pyc-1.1-r5.apk2024-10-25 22:11 4.7K 
[   ]mkdocs-bootswatch-1.1-r5.apk2024-10-25 22:11 538K 
[   ]mkdocs-bootstrap386-pyc-0.0.2-r5.apk2024-10-25 22:11 1.8K 
[   ]mkdocs-bootstrap386-0.0.2-r5.apk2024-10-25 22:11 791K 
[   ]mkdocs-bootstrap4-pyc-0.1.5-r5.apk2024-10-25 22:11 1.8K 
[   ]mkdocs-bootstrap4-0.1.5-r5.apk2024-10-25 22:11 260K 
[   ]mkdocs-bootstrap-pyc-1.1.1-r2.apk2024-10-25 22:11 1.8K 
[   ]mkdocs-bootstrap-1.1.1-r2.apk2024-10-25 22:11 29K 
[   ]mkcert-1.4.4-r14.apk2024-10-25 22:11 1.6M 
[   ]mjpg-streamer-0_git20210220-r1.apk2024-10-25 22:11 191K 
[   ]mir-test-tools-2.15.0-r4.apk2024-10-25 22:11 219K 
[   ]mir-dev-2.15.0-r4.apk2024-10-25 22:11 4.2M 
[   ]mir-demos-2.15.0-r4.apk2024-10-25 22:11 122K 
[   ]mir-2.15.0-r4.apk2024-10-25 22:11 1.8M 
[   ]mint-y-theme-xfwm4-2.1.1-r0.apk2024-10-25 22:11 207K 
[   ]mint-y-theme-metacity-2.1.1-r0.apk2024-10-25 22:11 58K 
[   ]mint-y-theme-gtk4-2.1.1-r0.apk2024-10-25 22:11 1.6M 
[   ]mint-y-theme-gtk3-2.1.1-r0.apk2024-10-25 22:11 1.8M 
[   ]mint-y-theme-gtk2-2.1.1-r0.apk2024-10-25 22:11 656K 
[   ]mint-y-theme-2.1.1-r0.apk2024-10-25 22:11 4.1K 
[   ]mint-y-icons-doc-1.7.7-r0.apk2024-11-12 12:16 11K 
[   ]mint-y-icons-1.7.7-r0.apk2024-11-12 12:16 72M 
[   ]mint-x-theme-xfwm4-2.1.1-r0.apk2024-10-25 22:11 35K 
[   ]mint-x-theme-metacity-2.1.1-r0.apk2024-10-25 22:11 6.1K 
[   ]mint-x-theme-gtk4-2.1.1-r0.apk2024-10-25 22:11 510K 
[   ]mint-x-theme-gtk3-2.1.1-r0.apk2024-10-25 22:11 603K 
[   ]mint-x-theme-gtk2-2.1.1-r0.apk2024-10-25 22:11 490K 
[   ]mint-x-theme-2.1.1-r0.apk2024-10-25 22:11 2.3K 
[   ]mint-x-icons-doc-1.7.1-r0.apk2024-11-12 11:59 7.4K 
[   ]mint-x-icons-1.7.1-r0.apk2024-11-12 11:59 22M 
[   ]mint-themes-doc-2.1.1-r0.apk2024-10-25 22:11 13K 
[   ]mint-themes-2.1.1-r0.apk2024-10-25 22:11 2.2K 
[   ]minisatip-openrc-1.3.4-r0.apk2024-10-25 22:11 1.9K 
[   ]minisatip-1.3.4-r0.apk2024-10-25 22:11 303K 
[   ]minimodem-doc-0.24-r1.apk2024-10-25 22:11 5.2K 
[   ]minimodem-0.24-r1.apk2024-10-25 22:11 22K 
[   ]minijinja-cli-zsh-completion-2.4.0-r1.apk2024-10-28 01:29 2.8K 
[   ]minijinja-cli-fish-completion-2.4.0-r1.apk2024-10-28 01:29 2.4K 
[   ]minijinja-cli-doc-2.4.0-r1.apk2024-10-28 01:29 6.1K 
[   ]minijinja-cli-bash-completion-2.4.0-r1.apk2024-10-28 01:29 2.4K 
[   ]minijinja-cli-2.4.0-r1.apk2024-10-28 01:29 1.3M 
[   ]minidyndns-openrc-1.3.0-r3.apk2024-10-25 22:11 1.8K 
[   ]minidyndns-doc-1.3.0-r3.apk2024-10-25 22:11 5.1K 
[   ]minidyndns-1.3.0-r3.apk2024-10-25 22:11 12K 
[   ]mingw-w64-libusb-1.0.27-r0.apk2024-10-25 22:11 213K 
[   ]mimeo-pyc-2023-r2.apk2024-10-25 22:11 42K 
[   ]mimeo-2023-r2.apk2024-10-25 22:11 28K 
[   ]mimedefang-doc-3.5-r0.apk2024-10-25 22:11 81K 
[   ]mimedefang-3.5-r0.apk2024-10-25 22:11 154K 
[   ]mimalloc1-insecure-1.8.6-r0.apk2024-10-25 22:11 65K 
[   ]mimalloc1-dev-1.8.6-r0.apk2024-10-25 22:11 436K 
[   ]mimalloc1-debug-1.8.6-r0.apk2024-10-25 22:11 176K 
[   ]mimalloc1-1.8.6-r0.apk2024-10-25 22:11 73K 
[   ]milkytracker-doc-1.04.00-r2.apk2024-10-25 22:11 50K 
[   ]milkytracker-1.04.00-r2.apk2024-10-25 22:11 1.0M 
[   ]metalang99-1.13.3-r0.apk2024-10-25 22:11 54K 
[   ]mesonlsp-4.3.7-r0.apk2024-10-25 22:11 2.1M 
[   ]meson-tools-doc-0.1-r1.apk2024-10-25 22:11 8.4K 
[   ]meson-tools-0.1-r1.apk2024-10-25 22:11 8.6K 
[   ]merlin-vim-4.14-r0.apk2024-10-25 22:11 28K 
[   ]merlin-emacs-4.14-r0.apk2024-10-25 22:11 29K 
[   ]merlin-dev-4.14-r0.apk2024-10-25 22:11 22M 
[   ]merlin-4.14-r0.apk2024-10-25 22:11 14M 
[   ]mergiraf-doc-0.3.1-r0.apk2024-11-13 20:22 28K 
[   ]mergiraf-0.3.1-r0.apk2024-11-13 20:22 1.9M 
[   ]mergerfs-doc-2.40.2-r1.apk2024-10-25 22:11 42K 
[   ]mergerfs-2.40.2-r1.apk2024-10-25 22:11 297K 
[   ]menumaker-0.99.14-r1.apk2024-10-25 22:11 111K 
[   ]memdump-doc-1.01-r1.apk2024-10-25 22:11 3.1K 
[   ]memdump-1.01-r1.apk2024-10-25 22:11 5.7K 
[   ]megazeux-doc-2.93b-r0.apk2024-10-25 22:11 454K 
[   ]megazeux-2.93b-r0.apk2024-10-25 22:11 1.1M 
[   ]megatools-doc-1.11.1.20241028-r0.apk2024-10-29 20:34 52K 
[   ]megatools-bash-completion-1.11.1.20241028-r0.apk2024-10-29 20:34 4.0K 
[   ]megatools-1.11.1.20241028-r0.apk2024-10-29 20:34 64K 
[   ]mediastreamer2-plugin-x264-20200722-r6.apk2024-10-25 22:11 8.4K 
[   ]mediastreamer2-plugin-openh264-5.2.0_git20231020-r0.apk2024-10-25 22:11 12K 
[   ]mediastreamer2-doc-5.3.41-r0.apk2024-10-25 22:11 109K 
[   ]mediastreamer2-dev-5.3.41-r0.apk2024-10-25 22:11 112K 
[   ]mediastreamer2-5.3.41-r0.apk2024-10-25 22:11 387K 
[   ]mediascanner2-0.115-r0.apk2024-10-25 22:11 280K 
[   ]mdp-doc-1.0.15-r1.apk2024-10-25 22:11 3.7K 
[   ]mdp-1.0.15-r1.apk2024-10-25 22:11 18K 
[   ]mdnsd-static-0.12-r1.apk2024-10-25 22:11 18K 
[   ]mdnsd-openrc-0.12-r1.apk2024-10-25 22:11 2.1K 
[   ]mdnsd-libs-0.12-r1.apk2024-10-25 22:11 19K 
[   ]mdnsd-doc-0.12-r1.apk2024-10-25 22:11 14K 
[   ]mdnsd-0.12-r1.apk2024-10-25 22:11 23K 
[   ]mdcat-zsh-completion-2.5.0-r0.apk2024-10-25 22:11 2.4K 
[   ]mdcat-fish-completion-2.5.0-r0.apk2024-10-25 22:11 2.1K 
[   ]mdcat-doc-2.5.0-r0.apk2024-10-25 22:11 6.2K 
[   ]mdcat-bash-completion-2.5.0-r0.apk2024-10-25 22:11 2.2K 
[   ]mdcat-2.5.0-r0.apk2024-10-25 22:11 3.4M 
[   ]mdbook-plantuml-0.8.0-r0.apk2024-10-25 22:11 918K 
[   ]mdbook-mermaid-0.13.0-r0.apk2024-10-25 22:11 1.7M 
[   ]mdbook-katex-0.8.1-r0.apk2024-10-25 22:11 618K 
[   ]md5ha1-0_git20171202-r1.apk2024-10-25 22:11 8.8K 
[   ]mcqd-dev-1.0.0-r1.apk2024-10-25 22:11 4.0K 
[   ]mcqd-1.0.0-r1.apk2024-10-25 22:11 14K 
[   ]mcman-doc-0.4.5-r0.apk2024-10-25 22:11 14K 
[   ]mcman-0.4.5-r0.apk2024-10-25 22:11 2.7M 
[   ]mcjoin-doc-2.11-r0.apk2024-10-25 22:11 54K 
[   ]mcjoin-2.11-r0.apk2024-10-25 22:11 26K 
[   ]mbrola-3.3-r0.apk2024-10-25 22:11 24K 
[   ]mbpfan-openrc-2.4.0-r1.apk2024-10-25 22:11 1.6K 
[   ]mbpfan-doc-2.4.0-r1.apk2024-10-25 22:11 5.3K 
[   ]mbpfan-2.4.0-r1.apk2024-10-25 22:11 13K 
[   ]maxima-emacs-5.47.0-r8.apk2024-10-25 22:11 111K 
[   ]maxima-doc-extra-5.47.0-r8.apk2024-10-25 22:11 10M 
[   ]maxima-doc-5.47.0-r8.apk2024-10-25 22:11 761K 
[   ]maxima-bash-completion-5.47.0-r8.apk2024-10-25 22:11 2.4K 
[   ]maxima-5.47.0-r8.apk2024-10-25 22:11 22M 
[   ]mautrix-discord-openrc-0.7.1-r0.apk2024-11-18 19:00 1.9K 
[   ]mautrix-discord-doc-0.7.1-r0.apk2024-11-18 19:00 13K 
[   ]mautrix-discord-0.7.1-r0.apk2024-11-18 19:00 6.5M 
[   ]materia-light-kde-yakuake-20220823-r0.apk2024-10-25 22:11 21K 
[   ]materia-light-kde-plasma-20220823-r0.apk2024-10-25 22:11 20K 
[   ]materia-light-kde-kvantum-20220823-r0.apk2024-10-25 22:11 30K 
[   ]materia-light-compact-kde-kvantum-20220823-r0.apk2024-10-25 22:11 1.5K 
[   ]materia-kde-plasma-20220823-r0.apk2024-10-25 22:11 1.7M 
[   ]materia-kde-kvantum-20220823-r0.apk2024-10-25 22:11 30K 
[   ]materia-kde-konsole-20220823-r0.apk2024-10-25 22:11 1.8K 
[   ]materia-kde-20220823-r0.apk2024-10-25 22:11 19K 
[   ]materia-gtk3-20210322-r1.apk2024-10-25 22:11 65K 
[   ]materia-gtk2-20210322-r1.apk2024-10-25 22:11 38K 
[   ]materia-gtk-theme-20210322-r1.apk2024-10-25 22:11 151K 
[   ]materia-gnome-shell-20210322-r1.apk2024-10-25 22:11 32K 
[   ]materia-dark-kde-yakuake-20220823-r0.apk2024-10-25 22:11 22K 
[   ]materia-dark-kde-plasma-20220823-r0.apk2024-10-25 22:11 503K 
[   ]materia-dark-kde-kvantum-20220823-r0.apk2024-10-25 22:11 30K 
[   ]materia-dark-kde-konsole-20220823-r0.apk2024-10-25 22:11 1.8K 
[   ]materia-dark-gtk3-20210322-r1.apk2024-10-25 22:11 41K 
[   ]materia-dark-gtk2-20210322-r1.apk2024-10-25 22:11 38K 
[   ]materia-dark-gnome-shell-20210322-r1.apk2024-10-25 22:11 32K 
[   ]materia-dark-compact-kde-kvantum-20220823-r0.apk2024-10-25 22:11 1.5K 
[   ]materia-dark-compact-gtk3-20210322-r1.apk2024-10-25 22:11 41K 
[   ]materia-dark-compact-gtk2-20210322-r1.apk2024-10-25 22:11 38K 
[   ]materia-dark-compact-gnome-shell-20210322-r1.apk2024-10-25 22:11 32K 
[   ]materia-dark-compact-chromium-20210322-r1.apk2024-10-25 22:11 5.7K 
[   ]materia-dark-compact-20210322-r1.apk2024-10-25 22:11 1.7K 
[   ]materia-dark-chromium-20210322-r1.apk2024-10-25 22:11 5.7K 
[   ]materia-dark-20210322-r1.apk2024-10-25 22:11 1.7K 
[   ]materia-compact-gtk3-20210322-r1.apk2024-10-25 22:11 65K 
[   ]materia-compact-gtk2-20210322-r1.apk2024-10-25 22:11 38K 
[   ]materia-compact-gnome-shell-20210322-r1.apk2024-10-25 22:11 32K 
[   ]materia-compact-chromium-20210322-r1.apk2024-10-25 22:11 5.7K 
[   ]materia-compact-20210322-r1.apk2024-10-25 22:11 1.7K 
[   ]materia-chromium-20210322-r1.apk2024-10-25 22:11 5.7K 
[   ]materia-20210322-r1.apk2024-10-25 22:11 1.7K 
[   ]mat2-pyc-0.13.4-r3.apk2024-10-25 22:11 54K 
[   ]mat2-doc-0.13.4-r3.apk2024-10-25 22:11 7.7K 
[   ]mat2-0.13.4-r3.apk2024-10-25 22:11 35K 
[   ]masky-pyc-0.2.0-r1.apk2024-10-25 22:11 64K 
[   ]masky-0.2.0-r1.apk2024-10-25 22:11 278K 
[   ]marxan-4.0.7-r1.apk2024-10-25 22:11 602K 
[   ]mapserver-dev-8.2.2-r2.apk2024-11-09 21:46 540K 
[   ]mapserver-8.2.2-r2.apk2024-11-09 21:46 1.4M 
[   ]mapnik-doc-3.1.0-r29.apk2024-11-08 01:09 135K 
[   ]mapnik-dev-3.1.0-r29.apk2024-11-08 01:09 454K 
[   ]mapnik-3.1.0-r29.apk2024-11-08 01:09 11M 
[   ]manifest-tool-2.1.7-r0.apk2024-10-25 22:11 4.1M 
[   ]mangr0ve-doc-0.1.2-r0.apk2024-10-25 22:11 14K 
[   ]mangr0ve-0.1.2-r0.apk2024-10-25 22:11 2.8K 
[   ]mangal-zsh-completion-4.0.6-r13.apk2024-10-25 22:11 4.0K 
[   ]mangal-fish-completion-4.0.6-r13.apk2024-10-25 22:11 3.9K 
[   ]mangal-bash-completion-4.0.6-r13.apk2024-10-25 22:11 5.0K 
[   ]mangal-4.0.6-r13.apk2024-10-25 22:11 10M 
[   ]mame-tools-0.251-r0.apk2024-10-25 22:11 2.7M 
[   ]mame-plugins-0.251-r0.apk2024-10-25 22:11 166K 
[   ]mame-mess-0.251-r0.apk2024-10-25 22:11 52M 
[   ]mame-lang-0.251-r0.apk2024-10-25 22:11 1.4M 
[   ]mame-doc-0.251-r0.apk2024-10-25 22:11 24K 
[   ]mame-data-0.251-r0.apk2024-10-25 22:11 19M 
[   ]mame-common-0.251-r0.apk2024-10-25 22:11 2.7K 
[   ]mame-arcade-0.251-r0.apk2024-10-25 22:11 65M 
[   ]mame-0.251-r0.apk2024-10-25 22:11 94M 
[   ]makeself-2.5.0-r0.apk2024-10-25 22:11 13K 
[   ]makedumpfile-openrc-1.7.6-r0.apk2024-10-28 05:12 2.9K 
[   ]makedumpfile-doc-1.7.6-r0.apk2024-10-28 05:12 24K 
[   ]makedumpfile-1.7.6-r0.apk2024-10-28 05:12 194K 
[   ]makeclapman-doc-2.4.1-r3.apk2024-10-25 22:11 4.1K 
[   ]makeclapman-2.4.1-r3.apk2024-10-25 22:11 1.2M 
[   ]mailutils-servers-3.17-r0.apk2024-10-25 22:11 80K 
[   ]mailutils-mh-3.17-r0.apk2024-10-25 22:11 1.4M 
[   ]mailutils-libs-3.17-r0.apk2024-10-25 22:11 539K 
[   ]mailutils-doc-3.17-r0.apk2024-10-25 22:11 159K 
[   ]mailutils-dev-3.17-r0.apk2024-10-25 22:11 3.0M 
[   ]mailutils-3.17-r0.apk2024-10-25 22:11 218K 
[   ]mailtutan-0.3.0-r0.apk2024-10-25 22:11 1.3M 
[   ]mailsec-check-0_git20210729-r21.apk2024-10-25 22:11 2.4M 
[   ]maildir2rss-0.0.7-r0.apk2024-10-25 22:11 3.2M 
[   ]magic-wormhole-rs-0.7.3-r0.apk2024-10-25 22:11 2.6M 
[   ]mage-1.13.0-r18.apk2024-10-25 22:11 1.5M 
[   ]maddy-vim-0.7.1-r5.apk2024-10-25 22:11 3.7K 
[   ]maddy-openrc-0.7.1-r5.apk2024-10-25 22:11 2.0K 
[   ]maddy-doc-0.7.1-r5.apk2024-10-25 22:11 2.4K 
[   ]maddy-0.7.1-r5.apk2024-10-25 22:11 9.5M 
[   ]ma1sd-openrc-2.5.0-r3.apk2024-10-25 22:11 2.0K 
[   ]ma1sd-2.5.0-r3.apk2024-10-25 22:11 38M 
[   ]m2r2-pyc-0.3.3-r3.apk2024-10-25 22:11 16K 
[   ]m2r2-0.3.3-r3.apk2024-10-25 22:11 13K 
[   ]lzfse-dev-1.0-r0.apk2024-10-25 22:11 3.4K 
[   ]lzfse-1.0-r0.apk2024-10-25 22:11 20K 
[   ]lyrebird-0.2.0-r2.apk2024-10-25 22:11 3.2M 
[   ]lynis-doc-3.1.1-r0.apk2024-10-25 22:11 49K 
[   ]lynis-bash-completion-3.1.1-r0.apk2024-10-25 22:11 3.0K 
[   ]lynis-3.1.1-r0.apk2024-10-25 22:11 271K 
[   ]lychee-doc-0.15.1-r0.apk2024-10-25 22:11 11K 
[   ]lychee-0.15.1-r0.apk2024-10-25 22:11 5.1M 
[   ]lxd-feature-scripts-5.20-r6.apk2024-10-25 22:11 2.1K 
[   ]lxd-feature-openrc-5.20-r6.apk2024-10-25 22:11 2.5K 
[   ]lxd-feature-doc-5.20-r6.apk2024-10-25 22:11 1.7K 
[   ]lxd-feature-bash-completion-5.20-r6.apk2024-10-25 22:11 5.1K 
[   ]lxd-feature-5.20-r6.apk2024-10-25 22:11 70M 
[   ]lxappearance-lang-0.6.3-r3.apk2024-10-25 22:11 81K 
[   ]lxappearance-doc-0.6.3-r3.apk2024-10-25 22:11 2.6K 
[   ]lxappearance-dev-0.6.3-r3.apk2024-10-25 22:11 3.2K 
[   ]lxappearance-0.6.3-r3.apk2024-10-25 22:11 30K 
[   ]lutgen-zsh-completion-0.11.2-r0.apk2024-10-25 22:11 1.6K 
[   ]lutgen-fish-completion-0.11.2-r0.apk2024-10-25 22:11 1.7K 
[   ]lutgen-doc-0.11.2-r0.apk2024-10-25 22:11 4.2K 
[   ]lutgen-bash-completion-0.11.2-r0.apk2024-10-25 22:11 1.7K 
[   ]lutgen-0.11.2-r0.apk2024-10-25 22:11 1.6M 
[   ]lumins-0.4.0-r2.apk2024-10-25 22:11 667K 
[   ]lumina-desktop-textedit-1.6.2-r0.apk2024-10-25 22:11 211K 
[   ]lumina-desktop-sudo-1.6.2-r0.apk2024-10-25 22:11 107K 
[   ]lumina-desktop-screenshot-1.6.2-r0.apk2024-10-25 22:11 186K 
[   ]lumina-desktop-photo-1.6.2-r0.apk2024-10-25 22:11 138K 
[   ]lumina-desktop-mediaplayer-1.6.2-r0.apk2024-10-25 22:11 216K 
[   ]lumina-desktop-fm-1.6.2-r0.apk2024-10-25 22:11 426K 
[   ]lumina-desktop-fileinfo-1.6.2-r0.apk2024-10-25 22:11 175K 
[   ]lumina-desktop-doc-1.6.2-r0.apk2024-10-25 22:11 12K 
[   ]lumina-desktop-coreutils-1.6.2-r0.apk2024-10-25 22:11 885K 
[   ]lumina-desktop-core-1.6.2-r0.apk2024-10-25 22:11 9.1M 
[   ]lumina-desktop-archiver-1.6.2-r0.apk2024-10-25 22:11 182K 
[   ]lumina-desktop-1.6.2-r0.apk2024-10-25 22:11 1.2K 
[   ]luksmeta-doc-9-r0.apk2024-10-25 22:11 5.5K 
[   ]luksmeta-dev-9-r0.apk2024-10-25 22:11 3.1K 
[   ]luksmeta-9-r0.apk2024-10-25 22:11 14K 
[   ]luapak-0.1.0_beta5-r0.apk2024-10-25 22:11 35K 
[   ]luacov-html-1.0.0-r1.apk2024-10-25 22:11 1.2K 
[   ]luacov-0.15.0-r0.apk2024-10-25 22:11 1.5K 
[   ]lua5.4-luastatic-0.0.12-r1.apk2024-10-25 22:11 9.2K 
[   ]lua5.4-luacov-0.15.0-r0.apk2024-10-25 22:11 23K 
[   ]lua5.4-linenoise-0.9-r1.apk2024-10-25 22:11 18K 
[   ]lua5.4-lanes-3.16.0-r1.apk2024-10-25 22:11 57K 
[   ]lua5.4-editorconfig-0.3.0-r0.apk2024-10-25 22:11 4.7K 
[   ]lua5.3-psl-0.3-r0.apk2024-10-25 22:11 6.3K 
[   ]lua5.3-luastatic-0.0.12-r1.apk2024-10-25 22:11 9.1K 
[   ]lua5.3-luacov-html-1.0.0-r1.apk2024-10-25 22:11 413K 
[   ]lua5.3-luacov-0.15.0-r0.apk2024-10-25 22:11 23K 
[   ]lua5.3-linenoise-0.9-r1.apk2024-10-25 22:11 18K 
[   ]lua5.3-lanes-3.16.0-r1.apk2024-10-25 22:11 58K 
[   ]lua5.3-editorconfig-0.3.0-r0.apk2024-10-25 22:11 4.7K 
[   ]lua5.3-apk3-3.0.0_pre3_git20241029-r0.apk2024-10-30 06:03 6.2K 
[   ]lua5.2-xml-1.1.3-r2.apk2024-10-25 22:11 23K 
[   ]lua5.2-psl-0.3-r0.apk2024-10-25 22:11 6.3K 
[   ]lua5.2-luastatic-0.0.12-r1.apk2024-10-25 22:11 9.1K 
[   ]lua5.2-luacov-html-1.0.0-r1.apk2024-10-25 22:11 413K 
[   ]lua5.2-luacov-0.15.0-r0.apk2024-10-25 22:11 23K 
[   ]lua5.2-linenoise-0.9-r1.apk2024-10-25 22:11 18K 
[   ]lua5.2-libmodbus-0.6.1-r0.apk2024-10-25 22:11 11K 
[   ]lua5.2-lanes-3.16.0-r1.apk2024-10-25 22:11 57K 
[   ]lua5.2-editorconfig-0.3.0-r0.apk2024-10-25 22:11 4.7K 
[   ]lua5.1-xml-1.1.3-r2.apk2024-10-25 22:11 24K 
[   ]lua5.1-psl-0.3-r0.apk2024-10-25 22:11 6.4K 
[   ]lua5.1-luastatic-0.0.12-r1.apk2024-10-25 22:11 86K 
[   ]lua5.1-luacov-html-1.0.0-r1.apk2024-10-25 22:11 413K 
[   ]lua5.1-luacov-0.15.0-r0.apk2024-10-25 22:11 23K 
[   ]lua5.1-linenoise-0.9-r1.apk2024-10-25 22:11 18K 
[   ]lua5.1-libmodbus-0.6.1-r0.apk2024-10-25 22:11 11K 
[   ]lua5.1-libguestfs-1.52.0-r1.apk2024-10-25 22:11 90K 
[   ]lua5.1-lcurses-9.0.0-r0.apk2024-10-25 22:11 29K 
[   ]lua5.1-lanes-3.16.0-r1.apk2024-10-25 22:11 57K 
[   ]lua-xml-1.1.3-r2.apk2024-10-25 22:11 1.4K 
[   ]lua-resty-upload-0.11-r0.apk2024-10-25 22:11 3.6K 
[   ]lua-resty-redis-0.29-r0.apk2024-10-25 22:11 5.3K 
[   ]lua-psl-0.3-r0.apk2024-10-25 22:11 1.1K 
[   ]lua-lut-1.2.1-r0.apk2024-10-25 22:11 89K 
[   ]lua-lupa-1.0-r0.apk2024-10-25 22:11 20K 
[   ]lua-luastatic-0.0.12-r1.apk2024-10-25 22:11 1.5K 
[   ]lua-linenoise-0.9-r1.apk2024-10-25 22:11 1.2K 
[   ]lua-libmodbus-doc-0.6.1-r0.apk2024-10-25 22:11 19K 
[   ]lua-libmodbus-0.6.1-r0.apk2024-10-25 22:11 1.2K 
[   ]lua-lcurses-9.0.0-r0.apk2024-10-25 22:11 1.2K 
[   ]lua-lanes-3.16.0-r1.apk2024-10-25 22:11 1.4K 
[   ]lua-inet-0.2.0-r1.apk2024-10-25 22:11 9.1K 
[   ]lua-fn-0.1.0-r0.apk2024-10-25 22:11 3.4K 
[   ]lua-editorconfig-0.3.0-r0.apk2024-10-25 22:11 1.2K 
[   ]lsmash-dev-2.14.5-r2.apk2024-10-25 22:11 359K 
[   ]lsmash-2.14.5-r2.apk2024-10-25 22:11 292K 
[   ]lsix-1.8.2-r0.apk2024-10-25 22:11 6.5K 
[   ]lsip6-pyc-0.2.0-r1.apk2024-10-25 22:11 5.1K 
[   ]lsip6-0.2.0-r1.apk2024-10-25 22:11 5.5K 
[   ]lshell-pyc-0.9.18-r11.apk2024-10-25 22:11 35K 
[   ]lshell-doc-0.9.18-r11.apk2024-10-25 22:11 25K 
[   ]lshell-0.9.18-r11.apk2024-10-25 22:11 36K 
[   ]lsdvd-doc-0.17-r0.apk2024-10-25 22:11 2.5K 
[   ]lsdvd-0.17-r0.apk2024-10-25 22:11 13K 
[   ]lsd-zsh-completion-1.1.5-r0.apk2024-10-25 22:11 3.4K 
[   ]lsd-fish-completion-1.1.5-r0.apk2024-10-25 22:11 3.1K 
[   ]lsd-bash-completion-1.1.5-r0.apk2024-10-25 22:11 2.5K 
[   ]lsd-1.1.5-r0.apk2024-10-25 22:11 1.1M 
[   ]lrcalc-libs-2.1-r1.apk2024-10-25 22:11 25K 
[   ]lrcalc-dev-2.1-r1.apk2024-10-25 22:11 11K 
[   ]lrcalc-2.1-r1.apk2024-10-25 22:11 11K 
[   ]lowjs-doc-1.6.2-r2.apk2024-10-25 22:11 3.0K 
[   ]lowjs-1.6.2-r2.apk2024-10-25 22:11 1.3M 
[   ]lout-doc-3.42.2-r0.apk2024-10-25 22:11 453K 
[   ]lout-3.42.2-r0.apk2024-10-25 22:11 1.4M 
[   ]lottieconverter-doc-0.2_git20231219-r0.apk2024-10-25 22:11 2.4K 
[   ]lottieconverter-0.2_git20231219-r0.apk2024-10-25 22:11 13K 
[   ]lotide-openrc-0.15.0-r0.apk2024-10-25 22:11 3.1K 
[   ]lotide-0.15.0-r0.apk2024-10-25 22:11 3.4M 
[   ]lomiri-weather-app-lang-5.13.5-r1.apk2024-10-25 22:11 288K 
[   ]lomiri-weather-app-5.13.5-r1.apk2024-10-25 22:11 235K 
[   ]lomiri-url-dispatcher-lang-0.1.3-r2.apk2024-10-25 22:11 21K 
[   ]lomiri-url-dispatcher-dev-0.1.3-r2.apk2024-10-25 22:11 3.3K 
[   ]lomiri-url-dispatcher-0.1.3-r2.apk2024-10-25 22:11 38K 
[   ]lomiri-ui-toolkit-lang-1.3.5100-r1.apk2024-10-25 22:11 99K 
[   ]lomiri-ui-toolkit-dev-1.3.5100-r1.apk2024-10-25 22:11 173K 
[   ]lomiri-ui-toolkit-1.3.5100-r1.apk2024-10-25 22:11 1.3M 
[   ]lomiri-ui-extras-lang-0.6.3-r1.apk2024-10-25 22:11 55K 
[   ]lomiri-ui-extras-0.6.3-r1.apk2024-10-25 22:11 277K 
[   ]lomiri-trust-store-lang-2.0.2-r6.apk2024-10-25 22:11 32K 
[   ]lomiri-trust-store-dev-2.0.2-r6.apk2024-10-25 22:11 9.5K 
[   ]lomiri-trust-store-2.0.2-r6.apk2024-10-25 22:11 1.0M 
[   ]lomiri-thumbnailer-doc-3.0.3-r2.apk2024-10-25 22:11 1.5K 
[   ]lomiri-thumbnailer-dev-3.0.3-r2.apk2024-10-25 22:11 5.1K 
[   ]lomiri-thumbnailer-3.0.3-r2.apk2024-10-25 22:11 241K 
[   ]lomiri-terminal-app-lang-2.0.3-r0.apk2024-11-12 18:18 62K 
[   ]lomiri-terminal-app-doc-2.0.3-r0.apk2024-11-12 18:18 2.6K 
[   ]lomiri-terminal-app-2.0.3-r0.apk2024-11-12 18:18 65K 
[   ]lomiri-telephony-service-lang-0.5.3-r4.apk2024-11-12 20:15 96K 
[   ]lomiri-telephony-service-0.5.3-r4.apk2024-11-12 20:15 1.1M 
[   ]lomiri-system-settings-lang-1.2.0-r0.apk2024-10-25 22:11 807K 
[   ]lomiri-system-settings-1.2.0-r0.apk2024-10-25 22:11 1.1M 
[   ]lomiri-sounds-22.02-r0.apk2024-10-25 22:11 18M 
[   ]lomiri-settings-components-lang-1.1.1-r1.apk2024-10-25 22:11 100K 
[   ]lomiri-settings-components-1.1.1-r1.apk2024-10-25 22:11 224K 
[   ]lomiri-schemas-0.1.5-r0.apk2024-10-25 22:11 11K 
[   ]lomiri-notifications-1.3.0-r1.apk2024-10-25 22:11 102K 
[   ]lomiri-location-service-lang-3.1.0-r7.apk2024-10-25 22:11 24K 
[   ]lomiri-location-service-doc-3.1.0-r7.apk2024-10-25 22:11 2.9K 
[   ]lomiri-location-service-dev-3.1.0-r7.apk2024-10-25 22:11 32K 
[   ]lomiri-location-service-3.1.0-r7.apk2024-10-25 22:11 2.1M 
[   ]lomiri-libusermetrics-lang-1.3.3-r0.apk2024-10-25 22:11 47K 
[   ]lomiri-libusermetrics-doc-1.3.3-r0.apk2024-10-25 22:11 230K 
[   ]lomiri-libusermetrics-dev-1.3.3-r0.apk2024-10-25 22:11 8.1K 
[   ]lomiri-libusermetrics-1.3.3-r0.apk2024-10-25 22:11 181K 
[   ]lomiri-lang-0.3.0-r0.apk2024-10-25 22:11 253K 
[   ]lomiri-indicator-network-lang-1.0.2-r2.apk2024-10-25 22:11 168K 
[   ]lomiri-indicator-network-doc-1.0.2-r2.apk2024-10-25 22:11 2.0K 
[   ]lomiri-indicator-network-dev-1.0.2-r2.apk2024-10-25 22:11 9.9K 
[   ]lomiri-indicator-network-1.0.2-r2.apk2024-10-25 22:11 651K 
[   ]lomiri-indicator-location-lang-0_git20231227-r0.apk2024-10-25 22:11 33K 
[   ]lomiri-indicator-location-0_git20231227-r0.apk2024-10-25 22:11 30K 
[   ]lomiri-history-service-dev-0.6-r1.apk2024-11-12 20:15 11K 
[   ]lomiri-history-service-0.6-r1.apk2024-11-12 20:15 386K 
[   ]lomiri-gallery-app-lang-3.0.2-r0.apk2024-10-25 22:11 109K 
[   ]lomiri-gallery-app-3.0.2-r0.apk2024-10-25 22:11 3.7M 
[   ]lomiri-filemanager-app-lang-1.0.4-r0.apk2024-10-25 22:11 175K 
[   ]lomiri-filemanager-app-1.0.4-r0.apk2024-10-25 22:11 353K 
[   ]lomiri-download-manager-lang-0.1.3-r3.apk2024-10-25 22:11 31K 
[   ]lomiri-download-manager-doc-0.1.3-r3.apk2024-10-25 22:11 2.3M 
[   ]lomiri-download-manager-dev-0.1.3-r3.apk2024-10-25 22:11 18K 
[   ]lomiri-download-manager-0.1.3-r3.apk2024-10-25 22:11 635K 
[   ]lomiri-docviewer-app-lang-3.0.4-r0.apk2024-10-25 22:11 119K 
[   ]lomiri-docviewer-app-doc-3.0.4-r0.apk2024-10-25 22:11 2.0K 
[   ]lomiri-docviewer-app-3.0.4-r0.apk2024-10-25 22:11 237K 
[   ]lomiri-content-hub-lang-2.0.0-r0.apk2024-10-25 22:11 42K 
[   ]lomiri-content-hub-doc-2.0.0-r0.apk2024-10-25 22:11 901K 
[   ]lomiri-content-hub-dev-2.0.0-r0.apk2024-10-25 22:11 11K 
[   ]lomiri-content-hub-2.0.0-r0.apk2024-10-25 22:11 300K 
[   ]lomiri-clock-app-lang-4.0.4-r0.apk2024-10-25 22:11 448K 
[   ]lomiri-clock-app-4.0.4-r0.apk2024-10-25 22:11 231K 
[   ]lomiri-calculator-app-lang-4.0.2-r0.apk2024-10-25 22:11 37K 
[   ]lomiri-calculator-app-4.0.2-r0.apk2024-10-25 22:11 375K 
[   ]lomiri-app-launch-dev-0.1.9-r3.apk2024-10-25 22:11 20K 
[   ]lomiri-app-launch-0.1.9-r3.apk2024-10-25 22:11 354K 
[   ]lomiri-api-dev-0.2.1-r1.apk2024-10-25 22:11 33K 
[   ]lomiri-api-0.2.1-r1.apk2024-10-25 22:11 36K 
[   ]lomiri-action-api-dev-1.1.3-r1.apk2024-10-25 22:11 5.4K 
[   ]lomiri-action-api-1.1.3-r1.apk2024-10-25 22:11 85K 
[   ]lomiri-0.3.0-r0.apk2024-10-25 22:11 4.0M 
[   ]lolcat-1.4-r0.apk2024-10-25 22:11 10K 
[   ]lol-html-dev-1.1.1-r1.apk2024-10-25 22:11 6.5K 
[   ]lol-html-1.1.1-r1.apk2024-10-25 22:11 444K 
[   ]logwatch-doc-7.10-r1.apk2024-10-25 22:11 38K 
[   ]logwatch-7.10-r1.apk2024-10-25 22:11 483K 
[   ]logtop-libs-0.7-r0.apk2024-10-25 22:11 16K 
[   ]logtop-doc-0.7-r0.apk2024-10-25 22:11 2.8K 
[   ]logtop-0.7-r0.apk2024-10-25 22:11 15K 
[   ]logc-libs-dev-0.1.0-r0.apk2024-10-25 22:11 5.7K 
[   ]logc-libs-0.1.0-r0.apk2024-10-25 22:11 1.5K 
[   ]logc-libevent-0.1.0-r0.apk2024-10-25 22:11 3.5K 
[   ]logc-dev-0.5.0-r0.apk2024-10-25 22:11 8.9K 
[   ]logc-czmq-0.1.0-r0.apk2024-10-25 22:11 4.1K 
[   ]logc-config-0.5.0-r0.apk2024-10-25 22:11 5.3K 
[   ]logc-argp-0.5.0-r0.apk2024-10-25 22:11 17K 
[   ]logc-0.5.0-r0.apk2024-10-25 22:11 8.2K 
[   ]log4cxx-dev-1.1.0-r1.apk2024-10-25 22:11 142K 
[   ]log4cxx-1.1.0-r1.apk2024-10-25 22:11 560K 
[   ]log4cpp-dev-1.1.4-r1.apk2024-10-25 22:11 39K 
[   ]log4cpp-1.1.4-r1.apk2024-10-25 22:11 76K 
[   ]lockrun-1.1.3-r1.apk2024-10-25 22:11 5.3K 
[   ]llmnrd-openrc-0.7-r1.apk2024-10-25 22:11 1.9K 
[   ]llmnrd-doc-0.7-r1.apk2024-10-25 22:11 3.0K 
[   ]llmnrd-0.7-r1.apk2024-10-25 22:11 17K 
[   ]lkrg-doc-0.9.6-r0.apk2024-10-25 22:11 22K 
[   ]lkrg-0.9.6-r0.apk2024-10-25 22:11 110K 
[   ]lizardfs-metalogger-openrc-3.13.0-r13.apk2024-10-25 22:11 1.6K 
[   ]lizardfs-metalogger-3.13.0-r13.apk2024-10-25 22:11 177K 
[   ]lizardfs-master-openrc-3.13.0-r13.apk2024-10-25 22:11 1.6K 
[   ]lizardfs-master-3.13.0-r13.apk2024-10-25 22:11 1.0M 
[   ]lizardfs-doc-3.13.0-r13.apk2024-10-25 22:11 12K 
[   ]lizardfs-client-3.13.0-r13.apk2024-10-25 22:11 1.4M 
[   ]lizardfs-chunkserver-openrc-3.13.0-r13.apk2024-10-25 22:11 1.6K 
[   ]lizardfs-chunkserver-3.13.0-r13.apk2024-10-25 22:11 401K 
[   ]lizardfs-cgiserv-openrc-3.13.0-r13.apk2024-10-25 22:11 2.0K 
[   ]lizardfs-cgiserv-3.13.0-r13.apk2024-10-25 22:11 7.4K 
[   ]lizardfs-cgi-3.13.0-r13.apk2024-10-25 22:11 32K 
[   ]lizardfs-bash-completion-3.13.0-r13.apk2024-10-25 22:11 1.9K 
[   ]lizardfs-3.13.0-r13.apk2024-10-25 22:11 151K 
[   ]litterbox-doc-1.9-r1.apk2024-10-25 22:11 7.2K 
[   ]litterbox-1.9-r1.apk2024-10-25 22:11 36K 
[   ]litehtml-static-0.9-r0.apk2024-10-25 22:11 522K 
[   ]litehtml-dev-0.9-r0.apk2024-10-25 22:11 44K 
[   ]litehtml-0.9-r0.apk2024-10-25 22:11 338K 
[   ]listenbrainz-mpd-zsh-completion-2.3.8-r0.apk2024-10-25 22:11 2.1K 
[   ]listenbrainz-mpd-fish-completion-2.3.8-r0.apk2024-10-25 22:11 1.8K 
[   ]listenbrainz-mpd-doc-2.3.8-r0.apk2024-10-25 22:11 14K 
[   ]listenbrainz-mpd-bash-completion-2.3.8-r0.apk2024-10-25 22:11 2.1K 
[   ]listenbrainz-mpd-2.3.8-r0.apk2024-10-25 22:11 1.2M 
[   ]liquibase-doc-4.9.1-r0.apk2024-10-25 22:11 57K 
[   ]liquibase-4.9.1-r0.apk2024-10-25 22:11 32M 
[   ]linuxptp-tz2alt-4.4-r0.apk2024-11-18 19:00 20K 
[   ]linuxptp-ts2phc-4.4-r0.apk2024-11-18 19:00 38K 
[   ]linuxptp-timemaster-4.4-r0.apk2024-11-18 19:00 16K 
[   ]linuxptp-ptp4l-4.4-r0.apk2024-11-18 19:00 83K 
[   ]linuxptp-pmc-4.4-r0.apk2024-11-18 19:00 35K 
[   ]linuxptp-phc_ctl-4.4-r0.apk2024-11-18 19:00 11K 
[   ]linuxptp-phc2sys-4.4-r0.apk2024-11-18 19:00 39K 
[   ]linuxptp-nsm-4.4-r0.apk2024-11-18 19:00 32K 
[   ]linuxptp-hwstamp_ctl-4.4-r0.apk2024-11-18 19:00 4.5K 
[   ]linuxptp-doc-4.4-r0.apk2024-11-18 19:00 38K 
[   ]linuxptp-4.4-r0.apk2024-11-18 19:00 1.2K 
[   ]linux-timemachine-1.3.2-r0.apk2024-10-25 22:11 5.1K 
[   ]linux-apfs-rw-src-0.3.8-r0.apk2024-10-25 22:11 197K 
[   ]linphone-libs-5.3.38-r0.apk2024-10-25 22:11 3.2M 
[   ]linphone-dev-5.3.38-r0.apk2024-10-25 22:11 250K 
[   ]linphone-5.3.38-r0.apk2024-10-25 22:11 9.0M 
[   ]linkquisition-1.6.1-r0.apk2024-10-25 22:11 12M 
[   ]limnoria-pyc-20240828-r0.apk2024-10-25 22:11 1.2M 
[   ]limnoria-doc-20240828-r0.apk2024-10-25 22:11 8.4K 
[   ]limnoria-20240828-r0.apk2024-10-25 22:11 1.1M 
[   ]licenseheaders-pyc-0.8.8-r4.apk2024-10-25 22:11 18K 
[   ]licenseheaders-0.8.8-r4.apk2024-10-25 22:11 18K 
[   ]libzn_poly-static-0.9.2-r2.apk2024-10-25 22:11 53K 
[   ]libzn_poly-dev-0.9.2-r2.apk2024-10-25 22:11 8.2K 
[   ]libzn_poly-0.9.2-r2.apk2024-10-25 22:11 51K 
[   ]libxml++-dev-5.0.3-r1.apk2024-10-25 22:11 30K 
[   ]libxml++-5.0.3-r1.apk2024-10-25 22:11 66K 
[   ]libwmiclient-dev-1.3.16-r5.apk2024-10-25 22:11 1.7K 
[   ]libwmiclient-1.3.16-r5.apk2024-10-25 22:11 1.5K 
[   ]libwhich-1.2.0-r0.apk2024-10-25 22:11 4.5K 
[   ]libwbxml-doc-0.11.8-r0.apk2024-10-25 22:11 28K 
[   ]libwbxml-dev-0.11.8-r0.apk2024-10-25 22:11 9.0K 
[   ]libwbxml-0.11.8-r0.apk2024-10-25 22:11 73K 
[   ]libvoikko-doc-4.3.2-r1.apk2024-10-25 22:11 5.7K 
[   ]libvoikko-dev-4.3.2-r1.apk2024-10-25 22:11 9.9K 
[   ]libvoikko-4.3.2-r1.apk2024-10-25 22:11 129K 
[   ]libvmime-dev-0.9.2.175-r0.apk2024-10-25 22:11 21M 
[   ]libvmime-dbg-0.9.2.175-r0.apk2024-10-25 22:11 12M 
[   ]libvmime-0.9.2.175-r0.apk2024-10-25 22:11 719K 
[   ]libvmaf-dev-3.0.0-r0.apk2024-10-25 22:11 219K 
[   ]libvmaf-3.0.0-r0.apk2024-10-25 22:11 371K 
[   ]libvisio2svg-utils-0.5.5-r3.apk2024-10-25 22:11 122K 
[   ]libvisio2svg-dev-0.5.5-r3.apk2024-10-25 22:11 2.9K 
[   ]libvisio2svg-0.5.5-r3.apk2024-10-25 22:11 15K 
[   ]libvdpau-va-gl-0.4.2-r0.apk2024-10-25 22:11 62K 
[   ]libupstart-2.0.3-r5.apk2024-10-25 22:11 54K 
[   ]libuninameslist-doc-20230916-r0.apk2024-10-25 22:11 2.0K 
[   ]libuninameslist-dev-20230916-r0.apk2024-10-25 22:11 3.5K 
[   ]libuninameslist-20230916-r0.apk2024-10-25 22:11 368K 
[   ]libuecc-dev-7-r3.apk2024-10-25 22:11 4.7K 
[   ]libuecc-7-r3.apk2024-10-25 22:11 10K 
[   ]libucl-doc-0.9.0-r0.apk2024-10-25 22:11 8.9K 
[   ]libucl-dev-0.9.0-r0.apk2024-10-25 22:11 82K 
[   ]libucl-0.9.0-r0.apk2024-10-25 22:11 56K 
[   ]libtsm-dev-4.0.2-r1.apk2024-10-25 22:11 9.5K 
[   ]libtsm-4.0.2-r1.apk2024-10-25 22:11 26K 
[   ]libtommath-dev-1.2.1-r0.apk2024-10-25 22:11 69K 
[   ]libtommath-1.2.1-r0.apk2024-10-25 22:11 43K 
[   ]libtinycbor-0.6.0-r1.apk2024-10-25 22:11 20K 
[   ]libtins-doc-4.5-r1.apk2024-10-25 22:11 2.3K 
[   ]libtins-dev-4.5-r1.apk2024-10-25 22:11 141K 
[   ]libtins-4.5-r1.apk2024-10-25 22:11 357K 
[   ]libsymmetrica-static-3.0.1-r2.apk2024-10-25 22:11 5.9M 
[   ]libsymmetrica-dev-3.0.1-r2.apk2024-10-25 22:11 32K 
[   ]libsymmetrica-3.0.1-r2.apk2024-10-25 22:11 4.4M 
[   ]libstirshaken-tools-0_git20240208-r2.apk2024-10-25 22:11 158K 
[   ]libstirshaken-dev-0_git20240208-r2.apk2024-10-25 22:11 78K 
[   ]libstirshaken-0_git20240208-r2.apk2024-10-25 22:11 54K 
[   ]libssl1.1-1.1.1w-r1.apk2024-10-25 22:11 199K 
[   ]libspatialindex-dev-0_git20210205-r1.apk2024-10-25 22:11 22K 
[   ]libspatialindex-0_git20210205-r1.apk2024-10-25 22:11 334K 
[   ]libsirocco-dev-2.1.0-r2.apk2024-10-25 22:11 1.9K 
[   ]libsirocco-2.1.0-r2.apk2024-10-25 22:11 70K 
[   ]libsimplebluez-0.6.1-r1.apk2024-10-25 22:11 139K 
[   ]libsimpleble-c-0.6.1-r1.apk2024-10-25 22:11 16K 
[   ]libsimpleble-0.6.1-r1.apk2024-10-25 22:11 192K 
[   ]libsigrokdecode-dev-0.5.3-r4.apk2024-10-25 22:11 38K 
[   ]libsigrokdecode-0.5.3-r4.apk2024-10-25 22:11 337K 
[   ]libsigrok-dev-0.5.2-r3.apk2024-10-25 22:11 31K 
[   ]libsigrok-0.5.2-r3.apk2024-10-25 22:11 506K 
[   ]libserialport-dev-0.1.1-r1.apk2024-10-25 22:11 37K 
[   ]libserialport-0.1.1-r1.apk2024-10-25 22:11 22K 
[   ]libsemigroups-static-2.7.3-r0.apk2024-10-25 22:11 1.6M 
[   ]libsemigroups-dev-2.7.3-r0.apk2024-10-25 22:11 338K 
[   ]libsemigroups-2.7.3-r0.apk2024-10-25 22:11 727K 
[   ]libsemanage-doc-3.6-r1.apk2024-10-25 22:11 23K 
[   ]libsemanage-dev-3.6-r1.apk2024-10-25 22:11 141K 
[   ]libsemanage-3.6-r1.apk2024-10-25 22:11 96K 
[   ]libsds-dev-2.0.0-r1.apk2024-10-25 22:11 3.8K 
[   ]libsds-2.0.0-r1.apk2024-10-25 22:11 10K 
[   ]libsbsms-dev-2.3.0-r0.apk2024-10-25 22:11 123K 
[   ]libsbsms-2.3.0-r0.apk2024-10-25 22:11 106K 
[   ]libretro-yabause-0_git20210411-r0.apk2024-10-25 22:11 506K 
[   ]libretro-xrick-0_git20220331-r0.apk2024-10-25 22:11 124K 
[   ]libretro-tyrquake-0_git20220409-r0.apk2024-10-25 22:11 409K 
[   ]libretro-theodore-3.1-r0.apk2024-10-25 22:11 869K 
[   ]libretro-snes9x-0_git20240819-r0.apk2024-10-25 22:11 613K 
[   ]libretro-scummvm-0_git20210325-r0.apk2024-10-25 22:11 21M 
[   ]libretro-ppsspp-0_git20210516-r14.apk2024-10-25 22:11 2.3M 
[   ]libretro-pocketcdg-0_git20220327-r0.apk2024-10-25 22:11 89K 
[   ]libretro-picodrive-0_git20220405-r0.apk2024-10-25 22:11 500K 
[   ]libretro-pcsx-rearmed-0_git20220409-r0.apk2024-10-25 22:11 546K 
[   ]libretro-parallel-n64-0_git20220406-r0.apk2024-10-25 22:11 827K 
[   ]libretro-opera-0_git20211214-r0.apk2024-10-25 22:11 191K 
[   ]libretro-openlara-0_git20210121-r0.apk2024-10-25 22:11 501K 
[   ]libretro-nxengine-0_git20220301-r0.apk2024-10-25 22:11 308K 
[   ]libretro-neocd-0_git20220325-r1.apk2024-10-25 22:11 520K 
[   ]libretro-mu-0_git20220317-r0.apk2024-10-25 22:11 189K 
[   ]libretro-mame2003-0_git20240904-r0.apk2024-10-25 22:11 6.8M 
[   ]libretro-mame2000-0_git20240701-r0.apk2024-10-25 22:11 2.8M 
[   ]libretro-gw-0_git20220410-r0.apk2024-10-25 22:11 193K 
[   ]libretro-gong-0_git20220319-r0.apk2024-10-25 22:11 8.0K 
[   ]libretro-gme-0_git20240628-r0.apk2024-10-25 22:11 198K 
[   ]libretro-genesis-plus-gx-0_git20230503-r0.apk2024-10-25 22:11 717K 
[   ]libretro-fuse-0_git20220417-r0.apk2024-10-25 22:11 903K 
[   ]libretro-frodo-0_git20221221-r0.apk2024-10-25 22:11 172K 
[   ]libretro-freeintv-0_git20220319-r0.apk2024-10-25 22:11 37K 
[   ]libretro-fbneo-0_git20220416-r0.apk2024-10-25 22:11 10M 
[   ]libretro-dinothawr-0_git20220401-r0.apk2024-10-25 22:11 145K 
[   ]libretro-daphne-0_git20210108-r2.apk2024-10-25 22:11 617K 
[   ]libretro-crocods-0_git20210314-r1.apk2024-10-25 22:11 281K 
[   ]libretro-cap32-0_git20220419-r0.apk2024-10-25 22:11 303K 
[   ]libretro-cannonball-0_git20220309-r6.apk2024-10-25 22:11 248K 
[   ]libretro-bluemsx-0_git20240808-r0.apk2024-10-25 22:11 685K 
[   ]libretro-beetle-supergrafx-0_git20220218-r0.apk2024-10-25 22:11 376K 
[   ]libretro-beetle-saturn-0_git20220417-r0.apk2024-10-25 22:11 1.6M 
[   ]libretro-beetle-pcfx-0_git20220409-r0.apk2024-10-25 22:11 326K 
[   ]libretro-beetle-pce-fast-0_git20220205-r0.apk2024-10-25 22:11 363K 
[   ]libretro-atari800-0_git20240924-r0.apk2024-10-25 22:11 286K 
[   ]librespot-openrc-0.6.0-r0.apk2024-11-01 22:59 1.8K 
[   ]librespot-0.6.0-r0.apk2024-11-01 22:59 2.8M 
[   ]libreoffice-voikko-5.0_git20200127-r0.apk2024-10-25 22:11 45K 
[   ]libraqm-doc-0.10.2-r0.apk2024-10-25 22:11 21K 
[   ]libraqm-dev-0.10.2-r0.apk2024-10-25 22:11 4.5K 
[   ]libraqm-0.10.2-r0.apk2024-10-25 22:11 11K 
[   ]libqtdbustest-0.3.2-r1.apk2024-10-25 22:11 34K 
[   ]libqtdbusmock-0.9.1-r1.apk2024-10-25 22:11 74K 
[   ]libqofono-qt6-0.123-r1.apk2024-10-25 22:11 441K 
[   ]libqofono-qt5-0.123-r1.apk2024-10-25 22:11 302K 
[   ]libqofono-dev-0.123-r1.apk2024-10-25 22:11 47K 
[   ]libqofono-0.123-r1.apk2024-10-25 22:11 1.4K 
[   ]libqd-static-2.3.24-r0.apk2024-10-25 22:11 251K 
[   ]libqd-doc-2.3.24-r0.apk2024-10-25 22:11 182K 
[   ]libqd-dev-2.3.24-r0.apk2024-10-25 22:11 58K 
[   ]libqd-2.3.24-r0.apk2024-10-25 22:11 177K 
[   ]libppl_c-1.2-r1.apk2024-10-25 22:11 1.7M 
[   ]libppl-1.2-r1.apk2024-10-25 22:11 547K 
[   ]libopensmtpd-doc-0.7-r0.apk2024-10-25 22:11 5.0K 
[   ]libopensmtpd-dev-0.7-r0.apk2024-10-25 22:11 3.1K 
[   ]libopensmtpd-0.7-r0.apk2024-10-25 22:11 21K 
[   ]liboggz-doc-1.1.1-r2.apk2024-10-25 22:11 134K 
[   ]liboggz-dev-1.1.1-r2.apk2024-10-25 22:11 162K 
[   ]liboggz-1.1.1-r2.apk2024-10-25 22:11 101K 
[   ]libofx-tools-0.10.9-r1.apk2024-10-25 22:11 106K 
[   ]libofx-dev-0.10.9-r1.apk2024-10-25 22:11 20K 
[   ]libofx-0.10.9-r1.apk2024-10-25 22:11 68K 
[   ]libnxml-dev-0.18.3-r0.apk2024-10-25 22:11 29K 
[   ]libnxml-0.18.3-r0.apk2024-10-25 22:11 22K 
[   ]libntl-static-11.5.1-r4.apk2024-10-25 22:11 1.7M 
[   ]libntl-doc-11.5.1-r4.apk2024-10-25 22:11 374K 
[   ]libntl-dev-11.5.1-r4.apk2024-10-25 22:11 159K 
[   ]libntl-11.5.1-r4.apk2024-10-25 22:11 1.2M 
[   ]libnih-doc-1.0.3-r7.apk2024-10-25 22:11 2.7K 
[   ]libnih-dev-1.0.3-r7.apk2024-10-25 22:11 110K 
[   ]libnih-1.0.3-r7.apk2024-10-25 22:11 108K 
[   ]libnfc-tools-1.8.0-r1.apk2024-10-25 22:11 58K 
[   ]libnfc-doc-1.8.0-r1.apk2024-10-25 22:11 22K 
[   ]libnfc-dev-1.8.0-r1.apk2024-10-25 22:11 7.9K 
[   ]libnfc-1.8.0-r1.apk2024-10-25 22:11 55K 
[   ]libnest2d-dev-0.4-r6.apk2024-10-25 22:11 71K 
[   ]libnest2d-0.4-r6.apk2024-10-25 22:11 1.4K 
[   ]libnbcompat-dev-1.0.2-r0.apk2024-10-25 22:11 67K 
[   ]libnbcompat-1.0.2-r0.apk2024-10-25 22:11 34K 
[   ]libmysofa-tools-1.3.2-r0.apk2024-10-25 22:11 1.1M 
[   ]libmysofa-dev-1.3.2-r0.apk2024-10-25 22:11 7.0K 
[   ]libmysofa-1.3.2-r0.apk2024-10-25 22:11 28K 
[   ]libmygpo-qt-dev-1.1.0-r2.apk2024-10-25 22:11 12K 
[   ]libmygpo-qt-1.1.0-r2.apk2024-10-25 22:11 92K 
[   ]libmustache-0.5.0-r1.apk2024-10-25 22:11 89K 
[   ]libmrss-dev-0.19.2-r1.apk2024-10-25 22:11 33K 
[   ]libmrss-0.19.2-r1.apk2024-10-25 22:11 22K 
[   ]libmpfi-static-1.5.4-r2.apk2024-10-25 22:11 55K 
[   ]libmpfi-doc-1.5.4-r2.apk2024-10-25 22:11 19K 
[   ]libmpfi-dev-1.5.4-r2.apk2024-10-25 22:11 5.4K 
[   ]libmpfi-1.5.4-r2.apk2024-10-25 22:11 40K 
[   ]libmhash-doc-0.9.9.9-r3.apk2024-10-25 22:11 8.2K 
[   ]libmhash-dev-0.9.9.9-r3.apk2024-10-25 22:11 110K 
[   ]libmhash-0.9.9.9-r3.apk2024-10-25 22:11 98K 
[   ]libmedc-python-pyc-4.1.1-r4.apk2024-10-25 22:11 475K 
[   ]libmedc-python-4.1.1-r4.apk2024-10-25 22:11 1.8M 
[   ]libmedc-doc-4.1.1-r4.apk2024-10-25 22:11 41M 
[   ]libmedc-dev-4.1.1-r4.apk2024-10-25 22:11 38K 
[   ]libmedc-4.1.1-r4.apk2024-10-25 22:11 556K 
[   ]libmdf-dev-1.0.29-r0.apk2024-10-25 22:11 14K 
[   ]libmdf-1.0.29-r0.apk2024-10-25 22:11 38K 
[   ]libmdbx-doc-0.11.8-r0.apk2024-10-25 22:11 8.9K 
[   ]libmdbx-dev-0.11.8-r0.apk2024-10-25 22:11 93K 
[   ]libmdbx-dbg-0.11.8-r0.apk2024-10-25 22:11 2.6M 
[   ]libmdbx-0.11.8-r0.apk2024-10-25 22:11 899K 
[   ]libm4rie-static-20200125-r4.apk2024-10-25 22:11 249K 
[   ]libm4rie-dev-20200125-r4.apk2024-10-25 22:11 24K 
[   ]libm4rie-20200125-r4.apk2024-10-25 22:11 186K 
[   ]libm4ri-static-20240729-r1.apk2024-10-25 22:11 175K 
[   ]libm4ri-dev-20240729-r1.apk2024-10-25 22:11 32K 
[   ]libm4ri-20240729-r1.apk2024-10-25 22:11 157K 
[   ]liblastfm-qt-dev-1.1.10_git20190823-r3.apk2024-10-25 22:11 20K 
[   ]liblastfm-qt-1.1.10_git20190823-r3.apk2024-10-25 22:11 176K 
[   ]libjodycode-doc-3.1.1-r0.apk2024-10-25 22:11 3.7K 
[   ]libjodycode-dev-3.1.1-r0.apk2024-10-25 22:11 4.2K 
[   ]libjodycode-3.1.1-r0.apk2024-10-25 22:11 7.8K 
[   ]libiscsi-utils-1.19.0-r2.apk2024-10-25 22:11 88K 
[   ]libiscsi-static-1.19.0-r2.apk2024-10-25 22:11 71K 
[   ]libiscsi-doc-1.19.0-r2.apk2024-10-25 22:11 9.3K 
[   ]libiscsi-dev-1.19.0-r2.apk2024-10-25 22:11 20K 
[   ]libiscsi-1.19.0-r2.apk2024-10-25 22:11 60K 
[   ]libirecovery-progs-1.2.1-r0.apk2024-10-30 23:44 8.4K 
[   ]libirecovery-dev-1.2.1-r0.apk2024-10-30 23:44 4.1K 
[   ]libirecovery-1.2.1-r0.apk2024-10-30 23:44 23K 
[   ]libiml-static-1.0.5-r3.apk2024-10-25 22:11 82K 
[   ]libiml-dev-1.0.5-r3.apk2024-10-25 22:11 3.9K 
[   ]libiml-1.0.5-r3.apk2024-10-25 22:11 81K 
[   ]libiio-tools-0.25-r2.apk2024-10-25 22:11 74K 
[   ]libiio-pyc-0.25-r2.apk2024-10-25 22:11 21K 
[   ]libiio-doc-0.25-r2.apk2024-10-25 22:11 18K 
[   ]libiio-dev-0.25-r2.apk2024-10-25 22:11 13K 
[   ]libiio-0.25-r2.apk2024-10-25 22:11 53K 
[   ]libigraph-dev-0.10.15-r0.apk2024-11-15 17:15 90K 
[   ]libigraph-0.10.15-r0.apk2024-11-15 17:15 1.3M 
[   ]libideviceactivation-doc-1.1.1-r5.apk2024-10-30 23:44 2.2K 
[   ]libideviceactivation-dev-1.1.1-r5.apk2024-10-30 23:44 3.3K 
[   ]libideviceactivation-1.1.1-r5.apk2024-10-30 23:44 18K 
[   ]libibumad-doc-1.3.10.2-r3.apk2024-10-25 22:11 23K 
[   ]libibumad-dev-1.3.10.2-r3.apk2024-10-25 22:11 7.6K 
[   ]libibumad-1.3.10.2-r3.apk2024-10-25 22:11 15K 
[   ]libibmad-dev-1.3.13-r2.apk2024-10-25 22:11 13K 
[   ]libibmad-1.3.13-r2.apk2024-10-25 22:11 30K 
[   ]libhx-dev-4.24-r0.apk2024-10-25 22:11 15K 
[   ]libhx-4.24-r0.apk2024-10-25 22:11 40K 
[   ]libhwpwm-doc-0.4.4-r0.apk2024-10-25 22:11 13K 
[   ]libhwpwm-dev-0.4.4-r0.apk2024-10-25 22:11 5.9K 
[   ]libhwpwm-0.4.4-r0.apk2024-10-25 22:11 6.1K 
[   ]libhomfly-dev-1.02_p6-r1.apk2024-10-25 22:11 20K 
[   ]libhomfly-1.02_p6-r1.apk2024-10-25 22:11 16K 
[   ]libguestfs-static-1.52.0-r1.apk2024-10-25 22:11 447K 
[   ]libguestfs-doc-1.52.0-r1.apk2024-10-25 22:11 560K 
[   ]libguestfs-dev-1.52.0-r1.apk2024-10-25 22:11 29K 
[   ]libguestfs-1.52.0-r1.apk2024-10-25 22:11 318K 
[   ]libgrapheme-doc-1-r0.apk2024-10-25 22:11 8.0K 
[   ]libgrapheme-dev-1-r0.apk2024-10-25 22:11 10K 
[   ]libgrapheme-1-r0.apk2024-10-25 22:11 9.9K 
[   ]libgivaro-static-4.2.0-r2.apk2024-10-25 22:11 97K 
[   ]libgivaro-dev-4.2.0-r2.apk2024-10-25 22:11 244K 
[   ]libgivaro-4.2.0-r2.apk2024-10-25 22:11 83K 
[   ]libgdcm-3.0.24-r0.apk2024-10-25 22:11 2.6M 
[   ]libfyaml-doc-0.9-r0.apk2024-10-25 22:11 7.4K 
[   ]libfyaml-dev-0.9-r0.apk2024-10-25 22:11 42K 
[   ]libfyaml-0.9-r0.apk2024-10-25 22:11 293K 
[   ]libfort-dev-0.4.2-r0.apk2024-10-25 22:11 17K 
[   ]libfort-0.4.2-r0.apk2024-10-25 22:11 31K 
[   ]libfoma-0.10.0_git20240712-r0.apk2024-10-25 22:11 105K 
[   ]libfishsound-doc-1.0.0-r1.apk2024-10-25 22:11 75K 
[   ]libfishsound-dev-1.0.0-r1.apk2024-10-25 22:11 57K 
[   ]libfishsound-1.0.0-r1.apk2024-10-25 22:11 10K 
[   ]libexmdbpp-dev-1.11-r1.apk2024-10-25 22:11 24K 
[   ]libexmdbpp-1.11-r1.apk2024-10-25 22:11 73K 
[   ]libettercap-0.8.3.1-r3.apk2024-10-25 22:11 200K 
[   ]liberasurecode-dev-1.6.3-r1.apk2024-10-25 22:11 18K 
[   ]liberasurecode-1.6.3-r1.apk2024-10-25 22:11 40K 
[   ]libemf2svg-utils-1.1.0-r2.apk2024-10-25 22:11 19K 
[   ]libemf2svg-1.1.0-r2.apk2024-10-25 22:11 177K 
[   ]libecm-7.0.5-r1.apk2024-10-25 22:11 240K 
[   ]libecap-static-1.0.1-r1.apk2024-10-25 22:11 17K 
[   ]libecap-dev-1.0.1-r1.apk2024-10-25 22:11 11K 
[   ]libecap-1.0.1-r1.apk2024-10-25 22:11 14K 
[   ]libeantic-dev-2.0.2-r1.apk2024-10-25 22:11 18K 
[   ]libeantic-2.0.2-r1.apk2024-10-25 22:11 85K 
[   ]libdng-utils-0.1.1-r1.apk2024-10-25 22:11 6.2K 
[   ]libdng-doc-0.1.1-r1.apk2024-10-25 22:11 4.2K 
[   ]libdng-dev-0.1.1-r1.apk2024-10-25 22:11 3.2K 
[   ]libdng-0.1.1-r1.apk2024-10-25 22:11 11K 
[   ]libdcmtk-3.6.8-r0.apk2024-10-25 22:11 6.7M 
[   ]libcyaml-static-1.4.2-r0.apk2024-10-25 22:11 27K 
[   ]libcyaml-doc-1.4.2-r0.apk2024-10-25 22:11 8.6K 
[   ]libcyaml-dev-1.4.2-r0.apk2024-10-25 22:11 13K 
[   ]libcyaml-1.4.2-r0.apk2024-10-25 22:11 23K 
[   ]libctl-doc-4.5.1-r1.apk2024-10-25 22:11 3.0K 
[   ]libctl-dev-4.5.1-r1.apk2024-10-25 22:11 39K 
[   ]libctl-4.5.1-r1.apk2024-10-25 22:11 93K 
[   ]libcrypto1.1-1.1.1w-r1.apk2024-10-25 22:11 1.0M 
[   ]libcryptmount-2.20-r3.apk2024-10-25 22:11 11K 
[   ]libcotp-dev-3.1.0-r0.apk2024-10-25 22:11 2.5K 
[   ]libcotp-3.1.0-r0.apk2024-10-25 22:11 9.0K 
[   ]libcorkipset-tools-1.1.1-r4.apk2024-10-25 22:11 11K 
[   ]libcorkipset-dev-1.1.1-r4.apk2024-10-25 22:11 8.1K 
[   ]libcorkipset-1.1.1-r4.apk2024-10-25 22:11 14K 
[   ]libcork-tools-0.15.0-r7.apk2024-10-25 22:11 4.6K 
[   ]libcork-dev-0.15.0-r7.apk2024-10-25 22:11 30K 
[   ]libcork-0.15.0-r7.apk2024-10-25 22:11 36K 
[   ]libcli-1.10.7-r0.apk2024-10-25 22:11 32K 
[   ]libbsoncxx-dev-3.8.0-r0.apk2024-10-25 22:11 39K 
[   ]libbsoncxx-3.8.0-r0.apk2024-10-25 22:11 48K 
[   ]libbraiding-dev-1.3.1-r0.apk2024-11-18 19:00 15K 
[   ]libbraiding-1.3.1-r0.apk2024-11-18 19:00 109K 
[   ]libbamf-doc-0.5.6-r1.apk2024-10-25 22:11 31K 
[   ]libbamf-dev-0.5.6-r1.apk2024-10-25 22:11 6.4K 
[   ]libbamf-0.5.6-r1.apk2024-10-25 22:11 151K 
[   ]libb64-doc-2.0.0.1-r0.apk2024-10-25 22:11 8.0K 
[   ]libb64-dev-2.0.0.1-r0.apk2024-10-25 22:11 6.2K 
[   ]libb64-2.0.0.1-r0.apk2024-10-25 22:11 4.7K 
[   ]libaudec-tools-0.3.4-r3.apk2024-10-25 22:11 30K 
[   ]libaudec-static-0.3.4-r3.apk2024-10-25 22:11 33K 
[   ]libaudec-dev-0.3.4-r3.apk2024-10-25 22:11 4.3K 
[   ]libaudec-0.3.4-r3.apk2024-10-25 22:11 30K 
[   ]libarb-static-2.23.0-r2.apk2024-10-25 22:11 2.1M 
[   ]libarb-dev-2.23.0-r2.apk2024-10-25 22:11 54K 
[   ]libarb-2.23.0-r2.apk2024-10-25 22:11 1.6M 
[   ]libantlr3c-dev-3.4-r3.apk2024-10-25 22:11 58K 
[   ]libantlr3c-3.4-r3.apk2024-10-25 22:11 55K 
[   ]libantic-dev-0.2.5-r0.apk2024-10-25 22:11 6.3K 
[   ]libantic-0.2.5-r0.apk2024-10-25 22:11 49K 
[   ]libabigail-tools-2.3-r0.apk2024-10-25 22:11 113K 
[   ]libabigail-doc-2.3-r0.apk2024-10-25 22:11 61K 
[   ]libabigail-dev-2.3-r0.apk2024-10-25 22:11 1.3M 
[   ]libabigail-bash-completion-2.3-r0.apk2024-10-25 22:11 3.0K 
[   ]libabigail-2.3-r0.apk2024-10-25 22:11 925K 
[   ]lgogdownloader-doc-3.12-r3.apk2024-10-25 22:11 8.2K 
[   ]lgogdownloader-3.12-r3.apk2024-10-25 22:11 383K 
[   ]lfm-pyc-3.1-r4.apk2024-10-25 22:11 134K 
[   ]lfm-doc-3.1-r4.apk2024-10-25 22:11 2.8K 
[   ]lfm-3.1-r4.apk2024-10-25 22:11 88K 
[   ]levmar-dev-2.6-r0.apk2024-10-25 22:11 48K 
[   ]leptosfmt-doc-0.1.18-r0.apk2024-10-25 22:11 6.2K 
[   ]leptosfmt-0.1.18-r0.apk2024-10-25 22:11 881K 
[   ]legume-doc-1.4.2-r4.apk2024-10-25 22:11 12K 
[   ]legume-1.4.2-r4.apk2024-10-25 22:11 1.4M 
[   ]lefthook-doc-1.8.0-r0.apk2024-10-25 22:11 2.2K 
[   ]lefthook-1.8.0-r0.apk2024-10-25 22:11 4.1M 
[   ]ledmon-doc-1.0.0-r0.apk2024-10-25 22:11 14K 
[   ]ledmon-1.0.0-r0.apk2024-10-25 22:11 81K 
[   ]ldapdomaindump-pyc-0.9.4-r1.apk2024-10-25 22:11 31K 
[   ]ldapdomaindump-0.9.4-r1.apk2024-10-25 22:11 18K 
[   ]lazymc-openrc-0.2.11-r0.apk2024-10-25 22:11 2.0K 
[   ]lazymc-0.2.11-r0.apk2024-10-25 22:11 1.1M 
[   ]laze-zsh-completion-0.1.24-r0.apk2024-10-25 22:11 3.5K 
[   ]laze-fish-completion-0.1.24-r0.apk2024-10-25 22:11 3.3K 
[   ]laze-doc-0.1.24-r0.apk2024-10-25 22:11 3.4K 
[   ]laze-bash-completion-0.1.24-r0.apk2024-10-25 22:11 3.1K 
[   ]laze-0.1.24-r0.apk2024-10-25 22:11 1.0M 
[   ]kubesplit-pyc-0.3.3-r1.apk2024-10-25 22:11 13K 
[   ]kubesplit-0.3.3-r1.apk2024-10-25 22:11 13K 
[   ]kubeseal-doc-0.27.1-r0.apk2024-10-25 22:11 5.5K 
[   ]kubeseal-0.27.1-r0.apk2024-10-25 22:11 11M 
[   ]kubepug-zsh-completion-1.7.1-r5.apk2024-10-25 22:11 4.0K 
[   ]kubepug-fish-completion-1.7.1-r5.apk2024-10-25 22:11 4.3K 
[   ]kubepug-bash-completion-1.7.1-r5.apk2024-10-25 22:11 5.1K 
[   ]kubepug-1.7.1-r5.apk2024-10-25 22:11 17M 
[   ]kubeone-zsh-completion-1.8.3-r0.apk2024-10-25 22:11 4.0K 
[   ]kubeone-doc-1.8.3-r0.apk2024-10-25 22:11 19K 
[   ]kubeone-bash-completion-1.8.3-r0.apk2024-10-25 22:11 6.5K 
[   ]kubeone-1.8.3-r0.apk2024-10-25 22:11 25M 
[   ]kubectl-oidc_login-1.30.1-r0.apk2024-10-25 22:11 5.3M 
[   ]kubectl-krew-0.4.4-r7.apk2024-10-25 22:11 4.3M 
[   ]kubeconform-0.6.6-r2.apk2024-10-25 22:11 3.1M 
[   ]kube-no-trouble-0.7.3-r0.apk2024-10-25 22:11 14M 
[   ]ktx-libs-4.3.2-r0.apk2024-10-25 22:11 1.4M 
[   ]ktx-dev-4.3.2-r0.apk2024-10-25 22:11 29K 
[   ]ktx-4.3.2-r0.apk2024-10-25 22:11 1.3M 
[   ]krita-plugin-gmic-3.2.4.1-r3.apk2024-10-25 22:11 2.8M 
[   ]kopia-zsh-completion-0.17.0-r2.apk2024-10-25 22:11 1.8K 
[   ]kopia-bash-completion-0.17.0-r2.apk2024-10-25 22:11 1.8K 
[   ]kopia-0.17.0-r2.apk2024-10-25 22:11 13M 
[   ]kondo-zsh-completion-0.8-r0.apk2024-10-25 22:11 2.5K 
[   ]kondo-fish-completion-0.8-r0.apk2024-10-25 22:11 2.1K 
[   ]kondo-bash-completion-0.8-r0.apk2024-10-25 22:11 2.1K 
[   ]kondo-0.8-r0.apk2024-10-25 22:11 686K 
[   ]kompose-zsh-completion-1.31.2-r5.apk2024-10-25 22:11 6.8K 
[   ]kompose-fish-completion-1.31.2-r5.apk2024-10-25 22:11 4.4K 
[   ]kompose-bash-completion-1.31.2-r5.apk2024-10-25 22:11 5.6K 
[   ]kompose-1.31.2-r5.apk2024-10-25 22:11 7.2M 
[   ]komikku-pyc-1.60.0-r0.apk2024-10-30 13:50 717K 
[   ]komikku-lang-1.60.0-r0.apk2024-10-30 13:50 222K 
[   ]komikku-1.60.0-r0.apk2024-10-30 13:50 1.0M 
[   ]ko-zsh-completion-0.15.4-r0.apk2024-10-25 22:11 4.0K 
[   ]ko-fish-completion-0.15.4-r0.apk2024-10-25 22:11 4.3K 
[   ]ko-bash-completion-0.15.4-r0.apk2024-10-25 22:11 5.0K 
[   ]ko-0.15.4-r0.apk2024-10-25 22:11 8.9M 
[   ]knxd-dev-0.14.61-r0.apk2024-10-25 22:11 24K 
[   ]knxd-0.14.61-r0.apk2024-10-25 22:11 420K 
[   ]knative-client-zsh-completion-1.15.0-r0.apk2024-10-25 22:11 4.0K 
[   ]knative-client-bash-completion-1.15.0-r0.apk2024-10-25 22:11 10K 
[   ]knative-client-1.15.0-r0.apk2024-10-25 22:11 22M 
[   ]kmscon-doc-9.0.0-r0.apk2024-10-25 22:11 7.7K 
[   ]kmscon-9.0.0-r0.apk2024-10-25 22:11 800K 
[   ]klevernotes-lang-1.1.0-r0.apk2024-10-25 22:11 142K 
[   ]klevernotes-1.1.0-r0.apk2024-10-25 22:11 2.6M 
[   ]kjv-0_git20221103-r0.apk2024-10-25 22:11 1.5M 
[   ]kismet-nxp-kw41z-0.202307.1-r4.apk2024-11-11 19:20 43K 
[   ]kismet-nrf-51822-0.202307.1-r4.apk2024-11-11 19:20 42K 
[   ]kismet-logtools-0.202307.1-r4.apk2024-11-11 19:20 1.2M 
[   ]kismet-linux-wifi-0.202307.1-r4.apk2024-11-11 19:20 64K 
[   ]kismet-linux-bluetooth-0.202307.1-r4.apk2024-11-11 19:20 45K 
[   ]kismet-0.202307.1-r4.apk2024-11-11 19:20 12M 
[   ]kirc-doc-0.3.2-r0.apk2024-10-25 22:11 2.6K 
[   ]kirc-0.3.2-r0.apk2024-10-25 22:11 11K 
[   ]kine-doc-0.10.1-r8.apk2024-10-25 22:11 5.1K 
[   ]kine-0.10.1-r8.apk2024-10-25 22:11 7.8M 
[   ]kimchi-pyc-3.0.0-r7.apk2024-10-25 22:11 378K 
[   ]kimchi-lang-3.0.0-r7.apk2024-10-25 22:11 172K 
[   ]kimchi-3.0.0-r7.apk2024-10-25 22:11 536K 
[   ]khronos-lang-4.0.1-r0.apk2024-10-25 22:11 26K 
[   ]khronos-4.0.1-r0.apk2024-10-25 22:11 56K 
[   ]khinsider-2.0.7-r15.apk2024-10-25 22:11 3.2M 
[   ]kgraphviewer-lang-2.5.0-r0.apk2024-10-25 22:11 230K 
[   ]kgraphviewer-dev-2.5.0-r0.apk2024-10-25 22:11 6.3K 
[   ]kgraphviewer-2.5.0-r0.apk2024-10-25 22:11 1.3M 
[   ]kfc-0.1.4-r0.apk2024-10-25 22:11 58K 
[   ]keystone-python-pyc-0.9.2-r6.apk2024-10-25 22:11 9.7K 
[   ]keystone-python-0.9.2-r6.apk2024-10-25 22:11 1.7M 
[   ]keystone-dev-0.9.2-r6.apk2024-10-25 22:11 7.3K 
[   ]keystone-0.9.2-r6.apk2024-10-25 22:11 1.4M 
[   ]keybase-client-6.2.8-r5.apk2024-10-25 22:11 18M 
[   ]kerberoast-pyc-0.2.0-r1.apk2024-10-25 22:11 15K 
[   ]kerberoast-0.2.0-r1.apk2024-10-25 22:11 9.6K 
[   ]keepassxc-browser-1.8.9-r0.apk2024-10-25 22:11 876K 
[   ]kdiskmark-lang-3.1.4-r1.apk2024-10-25 22:11 27K 
[   ]kdiskmark-3.1.4-r1.apk2024-10-25 22:11 172K 
[   ]kbs2-zsh-completion-0.7.2-r3.apk2024-10-25 22:11 4.0K 
[   ]kbs2-fish-completion-0.7.2-r3.apk2024-10-25 22:11 3.2K 
[   ]kbs2-bash-completion-0.7.2-r3.apk2024-10-25 22:11 3.1K 
[   ]kbs2-0.7.2-r3.apk2024-10-25 22:11 1.0M 
[   ]katarakt-0.2-r0.apk2024-10-25 22:11 96K 
[   ]katana-1.1.0-r4.apk2024-10-25 22:11 13M 
[   ]kapow-0.7.1-r8.apk2024-10-25 22:11 3.3M 
[   ]kannel-doc-1.5.0-r11.apk2024-10-25 22:11 6.2K 
[   ]kannel-dev-1.5.0-r11.apk2024-10-25 22:11 888K 
[   ]kannel-1.5.0-r11.apk2024-10-25 22:11 6.1M 
[   ]kanister-tools-zsh-completion-0.112.0-r0.apk2024-10-25 22:11 4.3K 
[   ]kanister-tools-fish-completion-0.112.0-r0.apk2024-10-25 22:11 4.8K 
[   ]kanister-tools-bash-completion-0.112.0-r0.apk2024-10-25 22:11 5.5K 
[   ]kanister-tools-0.112.0-r0.apk2024-10-25 22:11 63M 
[   ]kalker-2.2.1-r0.apk2024-10-25 22:11 660K 
[   ]kakoune-lsp-18.0.2-r0.apk2024-10-25 22:11 2.1M 
[   ]kabmat-doc-2.7.0-r0.apk2024-10-25 22:11 3.5K 
[   ]kabmat-2.7.0-r0.apk2024-10-25 22:11 61K 
[   ]k3sup-zsh-completion-0.13.6-r0.apk2024-10-25 22:11 4.0K 
[   ]k3sup-fish-completion-0.13.6-r0.apk2024-10-25 22:11 4.3K 
[   ]k3sup-bash-completion-0.13.6-r0.apk2024-10-25 22:11 5.0K 
[   ]k3sup-0.13.6-r0.apk2024-10-25 22:11 2.5M 
[   ]k2-0_git20220807-r1.apk2024-10-25 22:11 98K 
[   ]jwt-cli-6.1.1-r0.apk2024-10-25 22:11 806K 
[   ]junit2html-pyc-31.0.2-r0.apk2024-10-25 22:11 24K 
[   ]junit2html-31.0.2-r0.apk2024-10-25 22:11 17K 
[   ]jsonnet-language-server-0.14.1-r0.apk2024-10-25 22:11 4.1M 
[   ]jsonnet-bundler-0.6.0-r0.apk2024-10-25 22:11 3.2M 
[   ]json2tsv-jaq-doc-1.2-r0.apk2024-10-25 22:11 2.3K 
[   ]json2tsv-jaq-1.2-r0.apk2024-10-25 22:11 1.9K 
[   ]json2tsv-doc-1.2-r0.apk2024-10-25 22:11 5.2K 
[   ]json2tsv-1.2-r0.apk2024-10-25 22:11 6.9K 
[   ]jsmn-1.1.0-r2.apk2024-10-25 22:11 4.7K 
[   ]jrsonnet-cli-0.4.2-r1.apk2024-10-25 22:11 571K 
[   ]jotdown-0.4.0-r0.apk2024-10-25 22:11 217K 
[   ]jhead-doc-3.08-r0.apk2024-10-25 22:11 7.9K 
[   ]jhead-3.08-r0.apk2024-10-25 22:11 32K 
[   ]jfrog-cli-2.45.0-r7.apk2024-10-25 22:11 9.1M 
[   ]jedi-language-server-pyc-0.42.0-r0.apk2024-11-09 21:46 37K 
[   ]jedi-language-server-0.42.0-r0.apk2024-11-09 21:46 26K 
[   ]jdupes-doc-1.28.0-r0.apk2024-10-25 22:11 9.0K 
[   ]jdupes-1.28.0-r0.apk2024-10-25 22:11 29K 
[   ]jdebp-redo-doc-1.4-r1.apk2024-10-25 22:11 12K 
[   ]jdebp-redo-1.4-r1.apk2024-10-25 22:11 104K 
[   ]jbigkit-doc-2.1-r2.apk2024-10-25 22:11 7.3K 
[   ]jbigkit-dev-2.1-r2.apk2024-10-25 22:11 30K 
[   ]jbigkit-2.1-r2.apk2024-10-25 22:11 63K 
[   ]java-jtharness-examples-6.0_p12-r0.apk2024-10-25 22:11 219K 
[   ]java-jtharness-doc-6.0_p12-r0.apk2024-10-25 22:11 12K 
[   ]java-jtharness-6.0_p12-r0.apk2024-10-25 22:11 4.0M 
[   ]java-gdcm-3.0.24-r0.apk2024-10-25 22:11 644K 
[   ]jaq-1.6.0-r0.apk2024-10-25 22:11 960K 
[   ]jami-qt-lang-20230925-r0.apk2024-10-25 22:11 2.3M 
[   ]jami-qt-doc-20230925-r0.apk2024-10-25 22:11 2.7K 
[   ]jami-qt-20230925-r0.apk2024-10-25 22:11 14M 
[   ]jami-daemon-dev-4.0.0_git20230925-r4.apk2024-11-11 20:23 22K 
[   ]jami-daemon-4.0.0_git20230925-r4.apk2024-11-11 20:23 4.6M 
[   ]jalv-gtk-1.6.8-r1.apk2024-10-25 22:11 35K 
[   ]jalv-doc-1.6.8-r1.apk2024-10-25 22:11 3.2K 
[   ]jalv-1.6.8-r1.apk2024-10-25 22:11 52K 
[   ]jackline-0.1.0-r3.apk2024-10-25 22:11 3.7M 
[   ]jackdaw-pyc-0.3.1-r1.apk2024-10-25 22:11 370K 
[   ]jackdaw-0.3.1-r1.apk2024-10-25 22:11 2.0M 
[   ]jackal-openrc-0.64.0-r10.apk2024-10-25 22:11 1.8K 
[   ]jackal-0.64.0-r10.apk2024-10-25 22:11 11M 
[   ]jack_capture-0.9.73_git20210429-r2.apk2024-10-25 22:11 34K 
[   ]itd-1.1.0-r8.apk2024-10-25 22:11 8.8M 
[   ]it87-src-1_p20240609-r0.apk2024-10-25 22:11 30K 
[   ]isomd5sum-doc-1.2.3-r3.apk2024-10-25 22:11 3.0K 
[   ]isomd5sum-1.2.3-r3.apk2024-10-25 22:11 24K 
[   ]isoinfo-0_git20131217-r1.apk2024-10-25 22:11 6.8K 
[   ]irctk-transport-fossil-1.1.0-r0.apk2024-10-25 22:11 15K 
[   ]irctk-doc-1.1.0-r0.apk2024-10-25 22:11 16K 
[   ]irctk-1.1.0-r0.apk2024-10-25 22:11 29K 
[   ]ircdog-0.5.4-r0.apk2024-10-25 22:11 2.2M 
[   ]ircd-hybrid-doc-8.2.45-r1.apk2024-10-25 22:11 3.6K 
[   ]ircd-hybrid-8.2.45-r1.apk2024-10-25 22:11 313K 
[   ]irccd-openrc-4.0.3-r0.apk2024-10-25 22:11 1.8K 
[   ]irccd-doc-4.0.3-r0.apk2024-10-25 22:11 80K 
[   ]irccd-dev-4.0.3-r0.apk2024-10-25 22:11 9.6K 
[   ]irccd-4.0.3-r0.apk2024-10-25 22:11 268K 
[   ]iprange-doc-1.0.4-r1.apk2024-10-25 22:11 4.5K 
[   ]iprange-1.0.4-r1.apk2024-10-25 22:11 21K 
[   ]ip2location-doc-8.6.1-r0.apk2024-10-25 22:11 2.7K 
[   ]ip2location-dev-8.6.1-r0.apk2024-10-25 22:11 12K 
[   ]ip2location-8.6.1-r0.apk2024-10-25 22:11 25K 
[   ]invidtui-0.4.6-r0.apk2024-10-25 22:11 3.8M 
[   ]interception-tools-openrc-0.6.8-r2.apk2024-10-25 22:11 1.7K 
[   ]interception-tools-0.6.8-r2.apk2024-10-25 22:11 113K 
[   ]innernet-zsh-completion-1.6.1-r0.apk2024-10-25 22:11 5.5K 
[   ]innernet-openrc-1.6.1-r0.apk2024-10-25 22:11 2.3K 
[   ]innernet-fish-completion-1.6.1-r0.apk2024-10-25 22:11 4.5K 
[   ]innernet-doc-1.6.1-r0.apk2024-10-25 22:11 9.0K 
[   ]innernet-bash-completion-1.6.1-r0.apk2024-10-25 22:11 3.8K 
[   ]innernet-1.6.1-r0.apk2024-10-25 22:11 2.7M 
[   ]initify-0_git20171210-r1.apk2024-10-25 22:11 3.3K 
[   ]imrsh-dbg-0_git20210320-r1.apk2024-10-25 22:11 18K 
[   ]imrsh-0_git20210320-r1.apk2024-10-25 22:11 9.4K 
[   ]imgdiff-doc-1.0.2-r21.apk2024-10-25 22:11 2.3K 
[   ]imgdiff-1.0.2-r21.apk2024-10-25 22:11 947K 
[   ]imediff-pyc-2.6-r1.apk2024-10-25 22:11 44K 
[   ]imediff-doc-2.6-r1.apk2024-10-25 22:11 6.5K 
[   ]imediff-2.6-r1.apk2024-10-25 22:11 42K 
[   ]imapfilter-doc-2.8.2-r0.apk2024-10-25 22:11 13K 
[   ]imapfilter-2.8.2-r0.apk2024-10-25 22:11 44K 
[   ]ijq-doc-1.1.0-r3.apk2024-10-25 22:11 3.5K 
[   ]ijq-1.1.0-r3.apk2024-10-25 22:11 1.4M 
[   ]igrep-doc-1.2.0-r0.apk2024-10-25 22:11 4.2K 
[   ]igrep-1.2.0-r0.apk2024-10-25 22:11 1.6M 
[   ]ifuse-doc-1.1.4-r5.apk2024-10-30 23:44 2.3K 
[   ]ifuse-1.1.4-r5.apk2024-10-30 23:44 11K 
[   ]idevicerestore-doc-1.0.0-r4.apk2024-10-30 23:44 2.5K 
[   ]idevicerestore-1.0.0-r4.apk2024-10-30 23:44 84K 
[   ]ideviceinstaller-doc-1.1.1-r4.apk2024-10-30 23:44 2.5K 
[   ]ideviceinstaller-1.1.1-r4.apk2024-10-30 23:44 15K 
[   ]idesk-1-r1.apk2024-10-25 22:11 77K 
[   ]icmake-doc-9.03.01-r0.apk2024-10-25 22:11 127K 
[   ]icmake-9.03.01-r0.apk2024-10-25 22:11 127K 
[   ]icingaweb2-module-pnp-doc-1.1.0-r1.apk2024-10-25 22:11 1.5K 
[   ]icingaweb2-module-pnp-1.1.0-r1.apk2024-10-25 22:11 8.9K 
[   ]icestorm-0_git20240517-r0.apk2024-10-25 22:11 17M 
[   ]icesprog-udev-0_git20240108-r1.apk2024-10-25 22:11 1.9K 
[   ]icesprog-0_git20240108-r1.apk2024-10-25 22:11 8.9K 
[   ]i3status-rust-doc-0.33.2-r0.apk2024-11-11 04:20 34K 
[   ]i3status-rust-0.33.2-r0.apk2024-11-11 04:20 4.1M 
[   ]i2util-doc-4.2.1-r1.apk2024-10-25 22:11 4.7K 
[   ]i2util-dev-4.2.1-r1.apk2024-10-25 22:11 47K 
[   ]i2util-4.2.1-r1.apk2024-10-25 22:11 17K 
[   ]hyx-doc-2024.02.29-r0.apk2024-10-25 22:11 2.2K 
[   ]hyx-2024.02.29-r0.apk2024-10-25 22:11 17K 
[   ]hypnotix-lang-3.5-r0.apk2024-10-25 22:11 72K 
[   ]hypnotix-3.5-r0.apk2024-10-25 22:11 110K 
[   ]hyfetch-zsh-completion-1.99.0-r1.apk2024-10-25 22:11 2.5K 
[   ]hyfetch-pyc-1.99.0-r1.apk2024-10-25 22:11 180K 
[   ]hyfetch-doc-1.99.0-r1.apk2024-10-25 22:11 17K 
[   ]hyfetch-bash-completion-1.99.0-r1.apk2024-10-25 22:11 3.3K 
[   ]hyfetch-1.99.0-r1.apk2024-10-25 22:11 433K 
[   ]hy-pyc-1.0.0-r0.apk2024-10-25 22:11 169K 
[   ]hy-1.0.0-r0.apk2024-10-25 22:11 85K 
[   ]hx-doc-1.0.15-r0.apk2024-10-25 22:11 4.8K 
[   ]hx-1.0.15-r0.apk2024-10-25 22:11 15K 
[   ]hwatch-zsh-completion-0.3.11-r0.apk2024-10-25 22:11 1.9K 
[   ]hwatch-fish-completion-0.3.11-r0.apk2024-10-25 22:11 1.8K 
[   ]hwatch-doc-0.3.11-r0.apk2024-10-25 22:11 3.0K 
[   ]hwatch-0.3.11-r0.apk2024-10-25 22:11 1.0M 
[   ]hw-probe-1.6.5-r2.apk2024-10-25 22:11 117K 
[   ]hurl-doc-5.0.1-r0.apk2024-10-25 22:11 8.5K 
[   ]hurl-5.0.1-r0.apk2024-10-25 22:11 2.6M 
[   ]hunspell-es-ar-doc-2.7-r0.apk2024-10-25 22:11 2.8K 
[   ]hunspell-es-ar-2.7-r0.apk2024-10-25 22:11 226K 
[   ]hunspell-ca-es-3.0.7-r0.apk2024-10-25 22:11 731K 
[   ]hubble-cli-zsh-completion-0.13.6-r0.apk2024-10-25 22:11 4.0K 
[   ]hubble-cli-fish-completion-0.13.6-r0.apk2024-10-25 22:11 4.3K 
[   ]hubble-cli-bash-completion-0.13.6-r0.apk2024-10-25 22:11 5.1K 
[   ]hubble-cli-0.13.6-r0.apk2024-10-25 22:11 19M 
[   ]hub-zsh-completion-2.14.2-r26.apk2024-10-25 22:11 3.7K 
[   ]hub-fish-completion-2.14.2-r26.apk2024-10-25 22:11 3.3K 
[   ]hub-doc-2.14.2-r26.apk2024-10-25 22:11 43K 
[   ]hub-bash-completion-2.14.2-r26.apk2024-10-25 22:11 4.6K 
[   ]hub-2.14.2-r26.apk2024-10-25 22:11 2.5M 
[   ]httrack-doc-3.49.2-r5.apk2024-10-25 22:11 528K 
[   ]httrack-3.49.2-r5.apk2024-10-25 22:11 749K 
[   ]httpie-oauth-pyc-1.0.2-r9.apk2024-10-25 22:11 2.3K 
[   ]httpie-oauth-1.0.2-r9.apk2024-10-25 22:11 3.4K 
[   ]htslib-tools-1.19-r0.apk2024-10-25 22:11 1.3M 
[   ]htslib-static-1.19-r0.apk2024-10-25 22:11 484K 
[   ]htslib-doc-1.19-r0.apk2024-10-25 22:11 23K 
[   ]htslib-dev-1.19-r0.apk2024-10-25 22:11 115K 
[   ]htslib-1.19-r0.apk2024-10-25 22:11 397K 
[   ]htpdate-openrc-2.0.0-r0.apk2024-11-13 21:13 1.8K 
[   ]htpdate-doc-2.0.0-r0.apk2024-11-13 21:13 3.8K 
[   ]htpdate-2.0.0-r0.apk2024-11-13 21:13 12K 
[   ]htmldoc-doc-1.9.18-r1.apk2024-10-25 22:11 98K 
[   ]htmldoc-1.9.18-r1.apk2024-10-25 22:11 2.3M 
[   ]htmlcxx-dev-0.87-r1.apk2024-10-25 22:11 21K 
[   ]htmlcxx-0.87-r1.apk2024-10-25 22:11 66K 
[   ]hstdb-2.1.0-r2.apk2024-10-25 22:11 894K 
[   ]hsetroot-1.0.5-r1.apk2024-10-25 22:11 11K 
[   ]hpnssh-doc-18.4.1-r0.apk2024-10-25 22:11 98K 
[   ]hpnssh-18.4.1-r0.apk2024-10-25 22:11 2.1M 
[   ]hping3-doc-20051105-r4.apk2024-10-25 22:11 17K 
[   ]hping3-20051105-r4.apk2024-10-25 22:11 82K 
[   ]howard-bc-doc-7.0.3-r0.apk2024-10-25 22:11 39K 
[   ]howard-bc-7.0.3-r0.apk2024-10-25 22:11 69K 
[   ]horust-doc-0.1.7-r2.apk2024-10-25 22:11 9.2K 
[   ]horust-0.1.7-r2.apk2024-10-25 22:11 1.0M 
[   ]horizon-tools-0.9.6-r9.apk2024-10-25 22:11 86K 
[   ]horizon-image-0.9.6-r9.apk2024-10-25 22:11 73K 
[   ]horizon-doc-0.9.6-r9.apk2024-10-25 22:11 21K 
[   ]horizon-dev-0.9.6-r9.apk2024-10-25 22:11 4.9K 
[   ]horizon-dbg-0.9.6-r9.apk2024-10-25 22:11 3.9M 
[   ]horizon-0.9.6-r9.apk2024-10-25 22:11 225K 
[   ]hopalong-0.1-r3.apk2024-10-25 22:11 26K 
[   ]homebank-lang-5.8.5-r0.apk2024-10-25 22:11 921K 
[   ]homebank-5.8.5-r0.apk2024-10-25 22:11 1.9M 
[   ]hitide-openrc-0.15.0-r0.apk2024-10-25 22:11 2.1K 
[   ]hitide-0.15.0-r0.apk2024-10-25 22:11 1.9M 
[   ]hiprompt-gtk-py-0.8.0-r0.apk2024-10-25 22:11 8.3K 
[   ]hilbish-doc-2.3.2-r0.apk2024-10-25 22:11 26K 
[   ]hilbish-2.3.2-r0.apk2024-10-25 22:11 3.4M 
[   ]hikari-unlocker-2.3.3-r6.apk2024-10-25 22:11 4.1K 
[   ]hikari-doc-2.3.3-r6.apk2024-10-25 22:11 14K 
[   ]hikari-2.3.3-r6.apk2024-10-25 22:11 947K 
[   ]hidrd-dev-0.2.0_git20190603-r1.apk2024-10-25 22:11 129K 
[   ]hidrd-0.2.0_git20190603-r1.apk2024-10-25 22:11 74K 
[   ]hiawatha-openrc-11.6-r0.apk2024-10-25 22:11 1.7K 
[   ]hiawatha-letsencrypt-11.6-r0.apk2024-10-25 22:11 18K 
[   ]hiawatha-doc-11.6-r0.apk2024-10-25 22:11 21K 
[   ]hiawatha-11.6-r0.apk2024-10-25 22:11 206K 
[   ]hfst-libs-3.16.0-r2.apk2024-10-25 22:11 1.8M 
[   ]hfst-doc-3.16.0-r2.apk2024-10-25 22:11 71K 
[   ]hfst-dev-3.16.0-r2.apk2024-10-25 22:11 213K 
[   ]hfst-3.16.0-r2.apk2024-10-25 22:11 1.4M 
[   ]hexer-dev-1.4.0-r16.apk2024-11-08 01:09 7.3K 
[   ]hexer-1.4.0-r16.apk2024-11-08 01:09 61K 
[   ]hexedit-doc-1.6_git20230905-r0.apk2024-10-25 22:11 5.6K 
[   ]hexedit-1.6_git20230905-r0.apk2024-10-25 22:11 19K 
[   ]hexdiff-doc-0.0.53-r2.apk2024-10-25 22:11 3.7K 
[   ]hexdiff-0.0.53-r2.apk2024-10-25 22:11 16K 
[   ]hex-0.6.0-r0.apk2024-10-25 22:11 298K 
[   ]herbe-1.0.0-r0.apk2024-10-25 22:11 5.6K 
[   ]helmfile-zsh-completion-0.169.0-r0.apk2024-10-25 22:11 4.0K 
[   ]helmfile-fish-completion-0.169.0-r0.apk2024-10-25 22:11 4.3K 
[   ]helmfile-doc-0.169.0-r0.apk2024-10-25 22:11 2.2K 
[   ]helmfile-bash-completion-0.169.0-r0.apk2024-10-25 22:11 5.1K 
[   ]helmfile-0.169.0-r0.apk2024-10-25 22:11 46M 
[   ]helm-ls-doc-0.0.12-r4.apk2024-10-25 22:11 2.2K 
[   ]helm-ls-0.0.12-r4.apk2024-10-25 22:11 12M 
[   ]heisenbridge-pyc-1.14.6-r0.apk2024-10-25 22:11 152K 
[   ]heisenbridge-1.14.6-r0.apk2024-10-25 22:11 67K 
[   ]hdr10plus-tool-1.6.0-r0.apk2024-10-25 22:11 775K 
[   ]hdf4-tools-4.2.15-r2.apk2024-10-25 22:11 186K 
[   ]hdf4-doc-4.2.15-r2.apk2024-10-25 22:11 6.0K 
[   ]hdf4-dev-4.2.15-r2.apk2024-10-25 22:11 101K 
[   ]hdf4-4.2.15-r2.apk2024-10-25 22:11 240K 
[   ]hddfancontrol-pyc-1.6.2-r0.apk2024-10-25 22:11 34K 
[   ]hddfancontrol-openrc-1.6.2-r0.apk2024-10-25 22:11 2.2K 
[   ]hddfancontrol-1.6.2-r0.apk2024-10-25 22:11 33K 
[   ]hctl-0.2.6-r0.apk2024-10-25 22:11 1.2M 
[   ]hatop-doc-0.8.2-r0.apk2024-10-25 22:11 3.0K 
[   ]hatop-0.8.2-r0.apk2024-10-25 22:11 18K 
[   ]hashcat-doc-6.2.6-r0.apk2024-10-25 22:11 2.1M 
[   ]hashcat-6.2.6-r0.apk2024-10-25 22:11 67M 
[   ]harminv-libs-1.4.2-r1.apk2024-10-25 22:11 15K 
[   ]harminv-doc-1.4.2-r1.apk2024-10-25 22:11 5.7K 
[   ]harminv-dev-1.4.2-r1.apk2024-10-25 22:11 3.1K 
[   ]harminv-1.4.2-r1.apk2024-10-25 22:11 8.0K 
[   ]haproxy-dataplaneapi-openrc-3.0.1-r0.apk2024-10-25 22:11 2.1K 
[   ]haproxy-dataplaneapi-3.0.1-r0.apk2024-10-25 22:11 12M 
[   ]handlebars-utils-1.0.0-r1.apk2024-10-25 22:11 9.8K 
[   ]handlebars-dev-1.0.0-r1.apk2024-10-25 22:11 32K 
[   ]handlebars-1.0.0-r1.apk2024-10-25 22:11 107K 
[   ]hamster-time-tracker-pyc-3.0.3-r2.apk2024-10-25 22:11 358K 
[   ]hamster-time-tracker-lang-3.0.3-r2.apk2024-10-25 22:11 206K 
[   ]hamster-time-tracker-doc-3.0.3-r2.apk2024-10-25 22:11 116K 
[   ]hamster-time-tracker-bash-completion-3.0.3-r2.apk2024-10-25 22:11 2.0K 
[   ]hamster-time-tracker-3.0.3-r2.apk2024-10-25 22:11 156K 
[   ]halp-zsh-completion-0.2.0-r0.apk2024-10-25 22:11 2.4K 
[   ]halp-fish-completion-0.2.0-r0.apk2024-10-25 22:11 2.0K 
[   ]halp-doc-0.2.0-r0.apk2024-10-25 22:11 6.9K 
[   ]halp-bash-completion-0.2.0-r0.apk2024-10-25 22:11 2.2K 
[   ]halp-0.2.0-r0.apk2024-10-25 22:11 925K 
[   ]habitctl-0.1.0-r2.apk2024-10-25 22:11 332K 
[   ]h4h5tools-static-2.2.5-r4.apk2024-10-25 22:11 103K 
[   ]h4h5tools-doc-2.2.5-r4.apk2024-10-25 22:11 2.7K 
[   ]h4h5tools-dev-2.2.5-r4.apk2024-10-25 22:11 8.8K 
[   ]h4h5tools-2.2.5-r4.apk2024-10-25 22:11 109K 
[   ]gx-go-doc-1.9.0-r27.apk2024-10-25 22:11 2.3K 
[   ]gx-go-1.9.0-r27.apk2024-10-25 22:11 4.7M 
[   ]gx-doc-0.14.3-r25.apk2024-10-25 22:11 2.3K 
[   ]gx-0.14.3-r25.apk2024-10-25 22:11 4.4M 
[   ]gutenprint-static-5.3.4-r5.apk2024-10-25 22:11 799K 
[   ]gutenprint-samples-5.3.4-r5.apk2024-10-25 22:11 638K 
[   ]gutenprint-libs-5.3.4-r5.apk2024-10-25 22:11 534K 
[   ]gutenprint-lang-5.3.4-r5.apk2024-10-25 22:11 1.9M 
[   ]gutenprint-doc-5.3.4-r5.apk2024-10-25 22:11 8.4K 
[   ]gutenprint-dev-5.3.4-r5.apk2024-10-25 22:11 36K 
[   ]gutenprint-cups-5.3.4-r5.apk2024-10-25 22:11 49M 
[   ]gutenprint-5.3.4-r5.apk2024-10-25 22:11 817K 
[   ]guish-doc-2.6.10-r0.apk2024-10-25 22:11 62K 
[   ]guish-2.6.10-r0.apk2024-10-25 22:11 104K 
[   ]gufw-pyc-24.04-r3.apk2024-11-19 22:42 65K 
[   ]gufw-lang-24.04-r3.apk2024-11-19 22:42 855K 
[   ]gufw-doc-24.04-r3.apk2024-11-19 22:42 4.5K 
[   ]gufw-24.04-r3.apk2024-11-19 22:42 596K 
[   ]guetzli-dev-0_git20191025-r1.apk2024-10-25 22:11 2.2M 
[   ]guetzli-0_git20191025-r1.apk2024-10-25 22:11 138K 
[   ]guestfs-tools-1.52.0-r1.apk2024-10-25 22:11 278K 
[   ]guake-pyc-3.10-r1.apk2024-10-25 22:11 186K 
[   ]guake-lang-3.10-r1.apk2024-10-25 22:11 188K 
[   ]guake-3.10-r1.apk2024-10-25 22:11 305K 
[   ]gtkwave-doc-3.3.120-r0.apk2024-10-25 22:11 27K 
[   ]gtkwave-3.3.120-r0.apk2024-10-25 22:11 2.6M 
[   ]gtklock-doc-2.1.0-r0.apk2024-10-25 22:11 2.9K 
[   ]gtklock-2.1.0-r0.apk2024-10-25 22:11 17K 
[   ]gtkhash-lang-1.5-r0.apk2024-10-25 22:11 47K 
[   ]gtkhash-1.5-r0.apk2024-10-25 22:11 90K 
[   ]gtk4-layer-shell-doc-1.0.4-r1.apk2024-11-08 08:03 2.2K 
[   ]gtk4-layer-shell-dev-1.0.4-r1.apk2024-11-08 08:03 9.0K 
[   ]gtk4-layer-shell-demo-1.0.4-r1.apk2024-11-08 08:03 10K 
[   ]gtk4-layer-shell-1.0.4-r1.apk2024-11-08 08:03 15K 
[   ]gstreamermm-dev-1.10.0-r4.apk2024-10-25 22:11 320K 
[   ]gstreamermm-1.10.0-r4.apk2024-10-25 22:11 528K 
[   ]gst-rtsp-server-dev-1.24.9-r0.apk2024-11-18 19:00 93K 
[   ]gst-rtsp-server-1.24.9-r0.apk2024-11-18 19:00 247K 
[   ]gsimplecal-doc-2.5.1-r0.apk2024-10-25 22:11 5.8K 
[   ]gsimplecal-2.5.1-r0.apk2024-10-25 22:11 17K 
[   ]gsettings-qt-dev-0.2_git20220807-r1.apk2024-10-25 22:11 3.6K 
[   ]gsettings-qt-0.2_git20220807-r1.apk2024-10-25 22:11 48K 
[   ]grpcurl-1.9.1-r3.apk2024-10-25 22:11 8.1M 
[   ]grpcui-1.4.1-r3.apk2024-10-25 22:11 9.3M 
[   ]grpc-health-check-0.1.1-r3.apk2024-10-25 22:11 1.0M 
[   ]gron-0.7.1-r19.apk2024-10-25 22:11 2.3M 
[   ]grommunio-web-3.9-r0.apk2024-10-25 22:11 19M 
[   ]grommunio-sync-2.0_git20240327-r3.apk2024-10-25 22:11 324K 
[   ]grommunio-mapi-header-php-1.4-r0.apk2024-10-25 22:11 94K 
[   ]grommunio-index-1.0-r2.apk2024-10-25 22:11 48K 
[   ]grommunio-gromox-openrc-2.34-r0.apk2024-10-25 22:11 2.4K 
[   ]grommunio-gromox-doc-2.34-r0.apk2024-10-25 22:11 115K 
[   ]grommunio-gromox-dev-2.34-r0.apk2024-10-25 22:11 3.0K 
[   ]grommunio-gromox-dbg-2.34-r0.apk2024-10-25 22:11 33M 
[   ]grommunio-gromox-2.34-r0.apk2024-10-25 22:11 2.7M 
[   ]grommunio-error-pages-1.0_git20231031-r0.apk2024-10-25 22:11 24K 
[   ]grommunio-dav-2.0_git20240327-r3.apk2024-10-25 22:11 697K 
[   ]grommunio-common-openrc-1.0-r2.apk2024-10-25 22:11 1.7K 
[   ]grommunio-common-1.0-r2.apk2024-10-25 22:11 6.3K 
[   ]grommunio-admin-web-2.9.0-r3.apk2024-10-25 22:11 3.5M 
[   ]grommunio-admin-common-1.0_git20240313-r1.apk2024-10-25 22:11 9.2K 
[   ]grommunio-admin-api-openrc-1.16-r0.apk2024-10-25 22:11 1.7K 
[   ]grommunio-admin-api-doc-1.16-r0.apk2024-10-25 22:11 25K 
[   ]grommunio-admin-api-bash-completion-1.16-r0.apk2024-10-25 22:11 2.1K 
[   ]grommunio-admin-api-1.16-r0.apk2024-10-25 22:11 237K 
[   ]grlx-sprout-openrc-1.0.5-r0.apk2024-10-25 22:11 1.6K 
[   ]grlx-sprout-1.0.5-r0.apk2024-10-25 22:11 6.5M 
[   ]grlx-farmer-openrc-1.0.5-r0.apk2024-10-25 22:11 1.6K 
[   ]grlx-farmer-1.0.5-r0.apk2024-10-25 22:11 13M 
[   ]grlx-1.0.5-r0.apk2024-10-25 22:11 14M 
[   ]grip-lang-4.2.4-r0.apk2024-10-25 22:11 144K 
[   ]grip-doc-4.2.4-r0.apk2024-10-25 22:11 6.2K 
[   ]grip-4.2.4-r0.apk2024-10-25 22:11 388K 
[   ]greetd-wlgreet-0.5.0-r0.apk2024-10-25 22:11 614K 
[   ]greetd-regreet-0.1.1-r0.apk2024-10-25 22:11 922K 
[   ]greetd-mini-wl-greeter-doc-0_git20230821-r0.apk2024-10-25 22:11 3.3K 
[   ]greetd-mini-wl-greeter-bash-completion-0_git20230821-r0.apk2024-10-25 22:11 2.2K 
[   ]greetd-mini-wl-greeter-0_git20230821-r0.apk2024-10-25 22:11 20K 
[   ]grcov-0.8.20-r0.apk2024-11-11 11:03 1.9M 
[   ]grass-0.13.4-r0.apk2024-10-25 22:11 856K 
[   ]granite7-lang-7.5.0-r0.apk2024-10-25 22:11 52K 
[   ]granite7-dev-7.5.0-r0.apk2024-10-25 22:11 44K 
[   ]granite7-7.5.0-r0.apk2024-10-25 22:11 124K 
[   ]gpscorrelate-lang-2.2-r0.apk2024-11-12 12:29 17K 
[   ]gpscorrelate-doc-2.2-r0.apk2024-11-12 12:29 228K 
[   ]gpscorrelate-cli-2.2-r0.apk2024-11-12 12:29 27K 
[   ]gpscorrelate-2.2-r0.apk2024-11-12 12:29 51K 
[   ]gprbuild-22.0.0-r3.apk2024-10-25 22:11 13M 
[   ]gpg-remailer-doc-3.04.07-r1.apk2024-10-25 22:11 9.5K 
[   ]gpg-remailer-3.04.07-r1.apk2024-10-25 22:11 50K 
[   ]gpa-doc-0.10.0-r2.apk2024-10-25 22:11 2.9K 
[   ]gpa-0.10.0-r2.apk2024-10-25 22:11 252K 
[   ]goxel-0.15.1-r0.apk2024-10-25 22:11 1.8M 
[   ]gotify-openrc-2.5.0-r0.apk2024-10-25 22:11 2.0K 
[   ]gotify-cli-2.3.2-r0.apk2024-10-25 22:11 4.0M 
[   ]gotify-2.5.0-r0.apk2024-10-25 22:11 9.6M 
[   ]gotestsum-1.12.0-r2.apk2024-10-25 22:11 2.3M 
[   ]gosu-1.17-r5.apk2024-10-25 22:11 1.1M 
[   ]gortr-openrc-0.14.8-r8.apk2024-10-25 22:11 2.0K 
[   ]gortr-0.14.8-r8.apk2024-10-25 22:11 9.7M 
[   ]goreman-0.3.15-r8.apk2024-10-25 22:11 2.0M 
[   ]goomwwm-1.0.0-r5.apk2024-10-25 22:11 46K 
[   ]gomp-1.0.0-r7.apk2024-10-25 22:11 3.3M 
[   ]godot-templates-4.3-r2.apk2024-10-25 22:11 44M 
[   ]godot-doc-4.3-r2.apk2024-10-25 22:11 4.5K 
[   ]godot-4.3-r2.apk2024-10-25 22:11 47M 
[   ]gobuster-3.6.0-r7.apk2024-10-25 22:11 3.2M 
[   ]gobang-0.1.0_alpha5-r1.apk2024-10-25 22:11 1.9M 
[   ]go-passbolt-cli-0.3.1-r3.apk2024-10-25 22:11 6.6M 
[   ]go-mtpfs-1.0.0-r22.apk2024-10-25 22:11 1.1M 
[   ]go-jsonnet-0.20.0-r9.apk2024-10-25 22:11 5.8M 
[   ]gnucash-lang-5.9-r1.apk2024-11-19 00:51 8.1M 
[   ]gnucash-doc-5.9-r1.apk2024-11-19 00:51 1.4M 
[   ]gnucash-dev-5.9-r1.apk2024-11-19 00:51 302K 
[   ]gnucash-5.9-r1.apk2024-11-19 00:51 8.3M 
[   ]gnu-apl-doc-1.9-r0.apk2024-10-25 22:11 1.6M 
[   ]gnu-apl-dev-1.9-r0.apk2024-10-25 22:11 560K 
[   ]gnu-apl-1.9-r0.apk2024-10-25 22:11 1.3M 
[   ]gnome-user-share-lang-47.0-r0.apk2024-10-25 22:11 66K 
[   ]gnome-user-share-47.0-r0.apk2024-10-25 22:11 14K 
[   ]gnome-metronome-lang-1.3.0-r0.apk2024-10-25 22:11 25K 
[   ]gnome-metronome-1.3.0-r0.apk2024-10-25 22:11 451K 
[   ]gnome-latex-lang-3.47.0-r0.apk2024-10-25 22:11 531K 
[   ]gnome-latex-doc-3.47.0-r0.apk2024-10-25 22:11 106K 
[   ]gnome-latex-3.47.0-r0.apk2024-10-25 22:11 369K 
[   ]gnome-common-3.18.0-r3.apk2024-10-25 22:11 12K 
[   ]gmid-openrc-2.1-r0.apk2024-10-25 22:11 2.3K 
[   ]gmid-doc-2.1-r0.apk2024-10-25 22:11 15K 
[   ]gmid-2.1-r0.apk2024-10-25 22:11 234K 
[   ]gmic-qt-3.3.5-r1.apk2024-11-21 23:55 1.7M 
[   ]gmic-libs-3.3.5-r1.apk2024-11-21 23:55 2.1M 
[   ]gmic-doc-3.3.5-r1.apk2024-11-21 23:55 219K 
[   ]gmic-dev-3.3.5-r1.apk2024-11-21 23:55 7.7K 
[   ]gmic-bash-completion-3.3.5-r1.apk2024-11-21 23:55 28K 
[   ]gmic-3.3.5-r1.apk2024-11-21 23:55 11M 
[   ]gmenuharness-dev-0.1.4-r1.apk2024-10-25 22:11 4.3K 
[   ]gmenuharness-0.1.4-r1.apk2024-10-25 22:11 40K 
[   ]glslviewer-3.2.4-r0.apk2024-10-25 22:11 1.9M 
[   ]glow-zsh-completion-2.0.0-r0.apk2024-10-25 22:11 4.0K 
[   ]glow-fish-completion-2.0.0-r0.apk2024-10-25 22:11 4.3K 
[   ]glow-doc-2.0.0-r0.apk2024-10-25 22:11 3.2K 
[   ]glow-bash-completion-2.0.0-r0.apk2024-10-25 22:11 5.1K 
[   ]glow-2.0.0-r0.apk2024-10-25 22:11 5.8M 
[   ]gloox-dev-1.0.28-r0.apk2024-10-25 22:11 878K 
[   ]gloox-1.0.28-r0.apk2024-10-25 22:11 410K 
[   ]glmark2-doc-2023.01-r1.apk2024-10-25 22:11 13K 
[   ]glmark2-2023.01-r1.apk2024-10-25 22:11 8.1M 
[   ]gliderlabs-sigil-doc-0.11.0-r0.apk2024-10-25 22:11 2.4K 
[   ]gliderlabs-sigil-0.11.0-r0.apk2024-10-25 22:11 3.0M 
[   ]glfw-wayland-dev-3.3.8-r3.apk2024-10-25 22:11 46K 
[   ]glfw-wayland-dbg-3.3.8-r3.apk2024-10-25 22:11 179K 
[   ]glfw-wayland-3.3.8-r3.apk2024-10-25 22:11 63K 
[   ]gitoxide-0.14.0-r1.apk2024-10-25 22:11 2.8M 
[   ]git2json-pyc-0.2.3-r8.apk2024-10-25 22:11 5.7K 
[   ]git2json-0.2.3-r8.apk2024-10-25 22:11 7.4K 
[   ]git-secret-doc-0.5.0-r0.apk2024-10-25 22:11 17K 
[   ]git-secret-0.5.0-r0.apk2024-10-25 22:11 15K 
[   ]git-revise-pyc-0.7.0-r5.apk2024-10-25 22:11 42K 
[   ]git-revise-doc-0.7.0-r5.apk2024-10-25 22:11 5.0K 
[   ]git-revise-0.7.0-r5.apk2024-10-25 22:11 24K 
[   ]git-quick-stats-doc-2.5.8-r0.apk2024-10-25 22:11 2.9K 
[   ]git-quick-stats-2.5.8-r0.apk2024-10-25 22:11 12K 
[   ]git-extras-doc-7.3.0-r0.apk2024-11-18 19:00 63K 
[   ]git-extras-bash-completion-7.3.0-r0.apk2024-11-18 19:00 2.8K 
[   ]git-extras-7.3.0-r0.apk2024-11-18 19:00 55K 
[   ]git-cola-pyc-4.9.0-r0.apk2024-11-18 23:05 765K 
[   ]git-cola-doc-4.9.0-r0.apk2024-11-18 23:05 5.8K 
[   ]git-cola-4.9.0-r0.apk2024-11-18 23:05 858K 
[   ]git-bug-zsh-completion-0.8.0-r14.apk2024-10-25 22:11 4.0K 
[   ]git-bug-fish-completion-0.8.0-r14.apk2024-10-25 22:11 3.9K 
[   ]git-bug-doc-0.8.0-r14.apk2024-10-25 22:11 17K 
[   ]git-bug-bash-completion-0.8.0-r14.apk2024-10-25 22:11 5.2K 
[   ]git-bug-0.8.0-r14.apk2024-10-25 22:11 9.1M 
[   ]gingerbase-pyc-2.3.0-r7.apk2024-10-25 22:11 61K 
[   ]gingerbase-lang-2.3.0-r7.apk2024-10-25 22:11 53K 
[   ]gingerbase-2.3.0-r7.apk2024-10-25 22:11 195K 
[   ]ginger-pyc-2.4.0-r7.apk2024-10-25 22:11 207K 
[   ]ginger-lang-2.4.0-r7.apk2024-10-25 22:11 125K 
[   ]ginger-2.4.0-r7.apk2024-10-25 22:11 257K 
[   ]ginac-doc-1.8.7-r1.apk2024-10-25 22:11 98K 
[   ]ginac-dev-1.8.7-r1.apk2024-10-25 22:11 70K 
[   ]ginac-1.8.7-r1.apk2024-10-25 22:11 1.2M 
[   ]gimp-plugin-gmic-3.3.5-r1.apk2024-11-21 23:55 1.4M 
[   ]ghq-zsh-completion-1.6.2-r0.apk2024-10-25 22:11 2.4K 
[   ]ghq-fish-completion-1.6.2-r0.apk2024-10-25 22:11 2.5K 
[   ]ghq-doc-1.6.2-r0.apk2024-10-25 22:11 5.4K 
[   ]ghq-bash-completion-1.6.2-r0.apk2024-10-25 22:11 1.8K 
[   ]ghq-1.6.2-r0.apk2024-10-25 22:11 3.5M 
[   ]ghostcloud-0.9.9.5-r2.apk2024-10-25 22:11 465K 
[   ]ghc-filesystem-1.5.14-r0.apk2024-10-25 22:11 39K 
[   ]gf2x-dev-1.3.0-r1.apk2024-10-25 22:11 64K 
[   ]gf2x-1.3.0-r1.apk2024-10-25 22:11 42K 
[   ]getting-things-gnome-lang-0.6-r3.apk2024-10-25 22:11 230K 
[   ]getting-things-gnome-doc-0.6-r3.apk2024-10-25 22:11 498K 
[   ]getting-things-gnome-0.6-r3.apk2024-10-25 22:11 723K 
[   ]getssl-2.48-r0.apk2024-10-25 22:11 82K 
[   ]getmail6-pyc-6.19.05-r0.apk2024-10-25 22:11 102K 
[   ]getmail6-doc-6.19.05-r0.apk2024-10-25 22:11 138K 
[   ]getmail6-6.19.05-r0.apk2024-10-25 22:11 71K 
[   ]geonames-lang-0.3.1-r2.apk2024-10-25 22:11 4.6M 
[   ]geonames-doc-0.3.1-r2.apk2024-10-25 22:11 13K 
[   ]geonames-dev-0.3.1-r2.apk2024-10-25 22:11 3.0K 
[   ]geonames-0.3.1-r2.apk2024-10-25 22:11 827K 
[   ]geomyidae-openrc-0.34-r2.apk2024-10-25 22:11 2.0K 
[   ]geomyidae-doc-0.34-r2.apk2024-10-25 22:11 7.7K 
[   ]geomyidae-0.34-r2.apk2024-10-25 22:11 16K 
[   ]geodns-openrc-3.3.0-r8.apk2024-10-25 22:11 1.8K 
[   ]geodns-logs-3.3.0-r8.apk2024-10-25 22:11 4.2M 
[   ]geodns-3.3.0-r8.apk2024-10-25 22:11 4.6M 
[   ]genact-1.4.2-r0.apk2024-10-25 22:11 1.3M 
[   ]gede-2.18.2-r1.apk2024-10-25 22:11 290K 
[   ]gearmand-openrc-1.1.21-r1.apk2024-10-25 22:11 1.8K 
[   ]gearmand-doc-1.1.21-r1.apk2024-10-25 22:11 190K 
[   ]gearmand-1.1.21-r1.apk2024-10-25 22:11 197K 
[   ]gearman-libs-1.1.21-r1.apk2024-10-25 22:11 87K 
[   ]gearman-dev-1.1.21-r1.apk2024-10-25 22:11 1.0M 
[   ]gdm-settings-lang-4.3-r1.apk2024-10-25 22:11 155K 
[   ]gdm-settings-4.3-r1.apk2024-10-25 22:11 152K 
[   ]gdcm-doc-pdf-3.0.24-r0.apk2024-10-25 22:11 14M 
[   ]gdcm-doc-html-3.0.24-r0.apk2024-10-25 22:11 8.7M 
[   ]gdcm-doc-3.0.24-r0.apk2024-10-25 22:11 55K 
[   ]gdcm-dev-3.0.24-r0.apk2024-10-25 22:11 463K 
[   ]gdcm-3.0.24-r0.apk2024-10-25 22:11 399K 
[   ]gcli-doc-2.5.0-r0.apk2024-10-25 22:11 28K 
[   ]gcli-2.5.0-r0.apk2024-10-25 22:11 121K 
[   ]gb-0.4.4-r26.apk2024-10-25 22:11 6.5M 
[   ]gaupol-pyc-1.12-r2.apk2024-10-25 22:11 419K 
[   ]gaupol-lang-1.12-r2.apk2024-10-25 22:11 277K 
[   ]gaupol-doc-1.12-r2.apk2024-10-25 22:11 2.4K 
[   ]gaupol-1.12-r2.apk2024-10-25 22:11 276K 
[   ]gatling-openrc-0.16-r6.apk2024-10-25 22:11 2.8K 
[   ]gatling-doc-0.16-r6.apk2024-10-25 22:11 9.1K 
[   ]gatling-0.16-r6.apk2024-10-25 22:11 158K 
[   ]gammastep-pyc-2.0.9-r3.apk2024-10-25 22:11 17K 
[   ]gammastep-lang-2.0.9-r3.apk2024-10-25 22:11 78K 
[   ]gammastep-doc-2.0.9-r3.apk2024-10-25 22:11 14K 
[   ]gammastep-2.0.9-r3.apk2024-10-25 22:11 90K 
[   ]gamja-doc-1.0.0_beta9-r0.apk2024-10-25 22:11 2.2K 
[   ]gamja-1.0.0_beta9-r0.apk2024-10-25 22:11 599K 
[   ]gamescope-3.14.23-r0.apk2024-10-25 22:11 1.0M 
[   ]gamemode-doc-0_git20240327-r0.apk2024-10-25 22:11 7.6K 
[   ]gamemode-dev-0_git20240327-r0.apk2024-10-25 22:11 5.2K 
[   ]gamemode-0_git20240327-r0.apk2024-10-25 22:11 68K 
[   ]game-devices-udev-0.23-r0.apk2024-11-12 11:57 6.2K 
[   ]gambit-doc-4.9.5-r0.apk2024-10-25 22:11 4.4K 
[   ]gambit-dev-4.9.5-r0.apk2024-10-25 22:11 6.6M 
[   ]gambit-4.9.5-r0.apk2024-10-25 22:11 9.9M 
[   ]g4music-lang-4.1-r0.apk2024-10-30 13:50 67K 
[   ]g4music-4.1-r0.apk2024-10-30 13:50 237K 
[   ]fzy-doc-1.0-r3.apk2024-10-25 22:11 2.7K 
[   ]fzy-1.0-r3.apk2024-10-25 22:11 14K 
[   ]fyi-fish-completion-1.0.4-r0.apk2024-10-25 22:11 2.2K 
[   ]fyi-doc-1.0.4-r0.apk2024-10-25 22:11 7.0K 
[   ]fyi-bash-completion-1.0.4-r0.apk2024-10-25 22:11 1.8K 
[   ]fyi-1.0.4-r0.apk2024-10-25 22:11 9.9K 
[   ]fxfloorboard-katana-mk2-doc-20240515-r1.apk2024-10-25 22:11 1.1M 
[   ]fxfloorboard-katana-mk2-20240515-r1.apk2024-10-25 22:11 5.6M 
[   ]fusesoc-pyc-2.3-r0.apk2024-10-25 22:11 89K 
[   ]fusesoc-2.3-r0.apk2024-10-25 22:11 46K 
[   ]fusee-nano-udev-0.5.3-r1.apk2024-10-25 22:11 1.7K 
[   ]fusee-nano-0.5.3-r1.apk2024-10-25 22:11 21K 
[   ]fulcrum-doc-1.9.8-r1.apk2024-10-25 22:11 22K 
[   ]fulcrum-admin-1.9.8-r1.apk2024-10-25 22:11 7.9K 
[   ]fulcrum-1.9.8-r1.apk2024-10-25 22:11 967K 
[   ]freshrss-themes-1.23.1-r1.apk2024-10-25 22:11 1.5M 
[   ]freshrss-sqlite-1.23.1-r1.apk2024-10-25 22:11 1.5K 
[   ]freshrss-pgsql-1.23.1-r1.apk2024-10-25 22:11 1.5K 
[   ]freshrss-openrc-1.23.1-r1.apk2024-10-25 22:11 2.5K 
[   ]freshrss-mysql-1.23.1-r1.apk2024-10-25 22:11 1.5K 
[   ]freshrss-lang-1.23.1-r1.apk2024-10-25 22:11 379K 
[   ]freshrss-doc-1.23.1-r1.apk2024-10-25 22:11 751K 
[   ]freshrss-1.23.1-r1.apk2024-10-25 22:11 1.5M 
[   ]frescobaldi-pyc-3.3.0-r1.apk2024-10-25 22:11 1.2M 
[   ]frescobaldi-doc-3.3.0-r1.apk2024-10-25 22:11 2.5K 
[   ]frescobaldi-3.3.0-r1.apk2024-10-25 22:11 3.5M 
[   ]freediameter-libfdproto-1.5.0-r1.apk2024-10-25 22:11 87K 
[   ]freediameter-libfdcore-1.5.0-r1.apk2024-10-25 22:11 159K 
[   ]freediameter-extensions-1.5.0-r1.apk2024-10-25 22:11 323K 
[   ]freediameter-dev-1.5.0-r1.apk2024-10-25 22:11 54K 
[   ]freediameter-1.5.0-r1.apk2024-10-25 22:11 9.0K 
[   ]freealut-dev-1.1.0-r1.apk2024-10-25 22:11 25K 
[   ]freealut-1.1.0-r1.apk2024-10-25 22:11 19K 
[   ]fq-0.13.0-r0.apk2024-10-25 22:11 4.6M 
[   ]fpp-doc-0.9.5-r0.apk2024-10-25 22:11 5.6K 
[   ]fpp-0.9.5-r0.apk2024-10-25 22:11 29K 
[   ]fplll-strategies-5.5.0-r0.apk2024-11-18 19:00 1.7M 
[   ]fplll-static-5.5.0-r0.apk2024-11-18 19:00 6.5M 
[   ]fplll-libs-5.5.0-r0.apk2024-11-18 19:00 5.8M 
[   ]fplll-dev-5.5.0-r0.apk2024-11-18 19:00 78K 
[   ]fplll-5.5.0-r0.apk2024-11-18 19:00 53K 
[   ]fpc-stage0-3.2.2-r3.apk2024-10-25 22:11 6.2M 
[   ]fpc-doc-3.2.2-r4.apk2024-10-25 22:11 1.2M 
[   ]fpc-3.2.2-r4.apk2024-10-25 22:11 70M 
[   ]fox-utils-1.6.57-r0.apk2024-10-25 22:11 6.9K 
[   ]fox-shutterbug-1.6.57-r0.apk2024-10-25 22:11 23K 
[   ]fox-pathfinder-1.6.57-r0.apk2024-10-25 22:11 54K 
[   ]fox-doc-1.6.57-r0.apk2024-10-25 22:11 2.0M 
[   ]fox-dev-1.6.57-r0.apk2024-10-25 22:11 1.7M 
[   ]fox-calculator-1.6.57-r0.apk2024-10-25 22:11 35K 
[   ]fox-adie-1.6.57-r0.apk2024-10-25 22:11 128K 
[   ]fox-1.6.57-r0.apk2024-10-25 22:11 1.1M 
[   ]foolsm-openrc-1.0.21-r0.apk2024-10-25 22:11 1.5K 
[   ]foolsm-doc-1.0.21-r0.apk2024-10-25 22:11 3.9K 
[   ]foolsm-1.0.21-r0.apk2024-10-25 22:11 34K 
[   ]font-tiresias-doc-0_git20200704-r0.apk2024-10-25 22:11 58K 
[   ]font-tiresias-0_git20200704-r0.apk2024-10-25 22:11 568K 
[   ]font-tinos-0_git20210228-r0.apk2024-10-25 22:11 199K 
[   ]font-teluguvijayam-20190525-r2.apk2024-10-25 22:11 3.7M 
[   ]font-tamzen-1.11.5-r1.apk2024-10-25 22:11 62K 
[   ]font-stix-ttf-2.13-r0.apk2024-10-25 22:11 430K 
[   ]font-stix-otf-2.13-r0.apk2024-10-25 22:11 2.0M 
[   ]font-siji-20190218_git-r2.apk2024-10-25 22:11 24K 
[   ]font-monocraft-4.0-r0.apk2024-10-25 22:11 677K 
[   ]font-monaspace-xenon-1.101-r0.apk2024-10-25 22:11 2.3M 
[   ]font-monaspace-radon-1.101-r0.apk2024-10-25 22:11 2.7M 
[   ]font-monaspace-neon-1.101-r0.apk2024-10-25 22:11 2.1M 
[   ]font-monaspace-krypton-1.101-r0.apk2024-10-25 22:11 2.1M 
[   ]font-monaspace-argon-1.101-r0.apk2024-10-25 22:11 2.2M 
[   ]font-monaspace-1.101-r0.apk2024-10-25 22:11 1.5K 
[   ]font-material-icons-4.0.0-r0.apk2024-10-25 22:11 652K 
[   ]font-katex-0.16.2-r0.apk2024-10-25 22:11 852K 
[   ]font-intel-one-mono-1.3.0-r0.apk2024-10-25 22:11 281K 
[   ]font-hanazono-20170904-r1.apk2024-10-25 22:11 29M 
[   ]font-fontawesome-4-4.7.0-r3.apk2024-10-25 22:11 205K 
[   ]font-firamath-0.3.4-r0.apk2024-10-25 22:11 118K 
[   ]font-fira-ttf-4.202-r0.apk2024-10-25 22:11 6.2M 
[   ]font-fira-otf-4.202-r0.apk2024-10-25 22:11 7.4M 
[   ]font-fira-code-vf-6.2-r0.apk2024-10-25 22:11 145K 
[   ]font-fira-code-6.2-r0.apk2024-10-25 22:11 836K 
[   ]font-fira-4.202-r0.apk2024-10-25 22:11 1.2K 
[   ]font-fantasque-sans-normal-1.8.0-r0.apk2024-10-25 22:11 316K 
[   ]font-fantasque-sans-noloopk-1.8.0-r0.apk2024-10-25 22:11 316K 
[   ]font-fantasque-sans-largelineheightnoloopk-1.8.0-r0.apk2024-10-25 22:11 316K 
[   ]font-fantasque-sans-largelineheight-1.8.0-r0.apk2024-10-25 22:11 316K 
[   ]font-fantasque-sans-doc-1.8.0-r0.apk2024-10-25 22:11 5.5K 
[   ]font-fantasque-sans-1.8.0-r0.apk2024-10-25 22:11 1.2K 
[   ]font-cousine-0_git20210228-r0.apk2024-10-25 22:11 110K 
[   ]font-commit-mono-1.143-r0.apk2024-10-25 22:11 251K 
[   ]font-comic-neue-doc-2.51-r0.apk2024-10-25 22:11 1.0M 
[   ]font-comic-neue-2.51-r0.apk2024-10-25 22:11 249K 
[   ]font-chivo-mono-0_git20221110-r0.apk2024-10-25 22:11 626K 
[   ]font-chivo-0_git20221110-r0.apk2024-10-25 22:11 792K 
[   ]font-babelstone-han-15.1.3-r0.apk2024-10-25 22:11 18M 
[   ]font-anonymous-pro-1.002-r2.apk2024-10-25 22:11 264K 
[   ]foma-dev-0.10.0_git20240712-r0.apk2024-10-25 22:11 8.5K 
[   ]foma-0.10.0_git20240712-r0.apk2024-10-25 22:11 329K 
[   ]fnf-doc-0.1-r0.apk2024-10-25 22:11 4.6K 
[   ]fnf-0.1-r0.apk2024-10-25 22:11 18K 
[   ]fna3d-dev-24.11-r0.apk2024-11-03 22:49 14K 
[   ]fna3d-24.11-r0.apk2024-11-03 22:49 185K 
[   ]fluent-bit-openrc-3.1.10-r0.apk2024-11-10 13:15 1.6K 
[   ]fluent-bit-dev-3.1.10-r0.apk2024-11-10 13:15 115K 
[   ]fluent-bit-3.1.10-r0.apk2024-11-10 13:15 5.7M 
[   ]flowd-openrc-0.9.1-r10.apk2024-10-25 22:11 1.9K 
[   ]flowd-doc-0.9.1-r10.apk2024-10-25 22:11 10K 
[   ]flowd-dev-0.9.1-r10.apk2024-10-25 22:11 8.3K 
[   ]flowd-0.9.1-r10.apk2024-10-25 22:11 79K 
[   ]flintqs-1.0-r1.apk2024-10-25 22:11 22K 
[   ]flint-dev-2.9.0-r2.apk2024-10-25 22:11 311K 
[   ]flint-2.9.0-r2.apk2024-10-25 22:11 5.2M 
[   ]flawz-zsh-completion-0.3.0-r0.apk2024-11-03 22:06 2.2K 
[   ]flawz-fish-completion-0.3.0-r0.apk2024-11-03 22:06 1.9K 
[   ]flawz-doc-0.3.0-r0.apk2024-11-03 22:06 6.0K 
[   ]flawz-bash-completion-0.3.0-r0.apk2024-11-03 22:06 2.1K 
[   ]flawz-0.3.0-r0.apk2024-11-03 22:06 1.2M 
[   ]flauschige-uhr-0.1-r1.apk2024-10-25 22:11 4.2K 
[   ]flatpak-xdg-utils-1.0.6-r0.apk2024-10-25 22:11 19K 
[   ]flashprog-libs-1.3-r0.apk2024-11-12 17:00 201K 
[   ]flashprog-doc-1.3-r0.apk2024-11-12 17:00 26K 
[   ]flashprog-dev-1.3-r0.apk2024-11-12 17:00 320K 
[   ]flashprog-1.3-r0.apk2024-11-12 17:00 211K 
[   ]flare-game-1.14-r0.apk2024-10-25 22:11 2.2K 
[   ]flare-engine-doc-1.14-r0.apk2024-10-25 22:11 2.5K 
[   ]flare-engine-1.14-r0.apk2024-10-25 22:11 4.6M 
[   ]flann-doc-1.9.2-r0.apk2024-10-25 22:11 2.6K 
[   ]flann-dev-1.9.2-r0.apk2024-10-25 22:11 946K 
[   ]flann-1.9.2-r0.apk2024-10-25 22:11 1.7M 
[   ]flamegraph-1.0_git20220918-r1.apk2024-10-25 22:11 38K 
[   ]firehol-openrc-3.1.7-r2.apk2024-10-25 22:11 2.1K 
[   ]firehol-doc-3.1.7-r2.apk2024-10-25 22:11 675K 
[   ]firehol-3.1.7-r2.apk2024-10-25 22:11 85K 
[   ]finger-doc-0.5-r0.apk2024-10-25 22:11 3.8K 
[   ]finger-0.5-r0.apk2024-10-25 22:11 6.8K 
[   ]findtow-0.1-r0.apk2024-10-25 22:11 4.9K 
[   ]filite-0.3.0-r2.apk2024-10-25 22:11 1.1M 
[   ]fileshelter-openrc-6.2.0-r1.apk2024-10-25 22:11 1.6K 
[   ]fileshelter-6.2.0-r1.apk2024-10-25 22:11 340K 
[   ]filebrowser-openrc-2.27.0-r6.apk2024-10-25 22:11 1.9K 
[   ]filebrowser-2.27.0-r6.apk2024-10-25 22:11 7.2M 
[   ]fildesh-vim-0.2.0-r0.apk2024-10-25 22:11 3.5K 
[   ]fildesh-doc-0.2.0-r0.apk2024-10-25 22:11 2.1K 
[   ]fildesh-0.2.0-r0.apk2024-10-25 22:11 66K 
[   ]fiery-lang-2.0.0-r0.apk2024-10-25 22:11 54K 
[   ]fiery-2.0.0-r0.apk2024-10-25 22:11 284K 
[   ]fheroes2-lang-1.1.1-r0.apk2024-10-25 22:11 1.6M 
[   ]fheroes2-1.1.1-r0.apk2024-10-25 22:11 1.7M 
[   ]ffsend-zsh-completion-0.2.76-r4.apk2024-10-25 22:11 4.6K 
[   ]ffsend-fish-completion-0.2.76-r4.apk2024-10-25 22:11 3.6K 
[   ]ffsend-bash-completion-0.2.76-r4.apk2024-10-25 22:11 3.6K 
[   ]ffsend-0.2.76-r4.apk2024-10-25 22:11 1.5M 
[   ]ffms2-doc-5.0-r0.apk2024-10-25 22:11 30K 
[   ]ffms2-dev-5.0-r0.apk2024-10-25 22:11 7.6K 
[   ]ffms2-5.0-r0.apk2024-10-25 22:11 79K 
[   ]fflas-ffpack-2.5.0-r3.apk2024-10-25 22:11 345K 
[   ]fff-doc-2.2-r0.apk2024-10-25 22:11 9.0K 
[   ]fff-2.2-r0.apk2024-10-25 22:11 11K 
[   ]felix-2.14.0-r0.apk2024-10-25 22:11 644K 
[   ]featherpad-lang-1.5.1-r0.apk2024-10-25 22:11 463K 
[   ]featherpad-1.5.1-r0.apk2024-10-25 22:11 767K 
[   ]fdm-materials-5.2.2-r1.apk2024-10-25 22:11 60K 
[   ]fceux-doc-2.6.6-r2.apk2024-10-25 22:11 106K 
[   ]fceux-2.6.6-r2.apk2024-10-25 22:11 3.0M 
[   ]fbvnc-0_git20220812-r0.apk2024-10-25 22:11 10K 
[   ]fbcur-doc-1.0.1-r1.apk2024-10-25 22:11 2.2K 
[   ]fbcur-1.0.1-r1.apk2024-10-25 22:11 4.7K 
[   ]fava-pyc-1.28-r0.apk2024-10-25 22:11 164K 
[   ]fava-1.28-r0.apk2024-10-25 22:11 1.1M 
[   ]faust-vim-2.60.3-r2.apk2024-10-25 22:11 2.6K 
[   ]faust-tools-2.60.3-r2.apk2024-10-25 22:11 119K 
[   ]faust-static-2.60.3-r2.apk2024-10-25 22:11 528K 
[   ]faust-doc-2.60.3-r2.apk2024-10-25 22:11 17M 
[   ]faust-dev-2.60.3-r2.apk2024-10-25 22:11 771K 
[   ]faust-2.60.3-r2.apk2024-10-25 22:11 8.6M 
[   ]faultstat-doc-0.01.11-r0.apk2024-10-25 22:11 3.0K 
[   ]faultstat-bash-completion-0.01.11-r0.apk2024-10-25 22:11 2.3K 
[   ]faultstat-0.01.11-r0.apk2024-10-25 22:11 14K 
[   ]fatresize-doc-1.1.0-r1.apk2024-10-25 22:11 15K 
[   ]fatresize-1.1.0-r1.apk2024-10-25 22:11 9.5K 
[   ]fatrace-doc-0.17.0-r0.apk2024-10-25 22:11 3.3K 
[   ]fatrace-0.17.0-r0.apk2024-10-25 22:11 10K 
[   ]fathom-1.3.1-r8.apk2024-10-25 22:11 4.5M 
[   ]fatback-doc-1.3-r2.apk2024-10-25 22:11 16K 
[   ]fatback-1.3-r2.apk2024-10-25 22:11 30K 
[   ]fastd-openrc-22-r3.apk2024-10-25 22:11 1.8K 
[   ]fastd-doc-22-r3.apk2024-10-25 22:11 3.3K 
[   ]fastd-22-r3.apk2024-10-25 22:11 75K 
[   ]fast_float-5.2.0-r1.apk2024-10-25 22:11 43K 
[   ]fakeroot-tcp-1.32.1-r1.apk2024-10-25 22:11 30K 
[   ]fabric-pyc-3.2.2-r1.apk2024-10-25 22:11 60K 
[   ]fabric-3.2.2-r1.apk2024-10-25 22:11 55K 
[   ]f_scripts-f_youtube-0.6-r1.apk2024-10-25 22:11 2.8K 
[   ]f_scripts-f_web-0.6-r1.apk2024-10-25 22:11 2.9K 
[   ]f_scripts-f_timer-0.6-r1.apk2024-10-25 22:11 2.4K 
[   ]f_scripts-f_theme-0.6-r1.apk2024-10-25 22:11 2.6K 
[   ]f_scripts-f_rss-0.6-r1.apk2024-10-25 22:11 2.5K 
[   ]f_scripts-f_phone-0.6-r1.apk2024-10-25 22:11 6.0K 
[   ]f_scripts-f_networks-0.6-r1.apk2024-10-25 22:11 3.0K 
[   ]f_scripts-f_maps-0.6-r1.apk2024-10-25 22:11 2.1K 
[   ]f_scripts-f_game-0.6-r1.apk2024-10-25 22:11 1.9K 
[   ]f_scripts-f_files-0.6-r1.apk2024-10-25 22:11 2.9K 
[   ]f_scripts-f_audio-0.6-r1.apk2024-10-25 22:11 3.3K 
[   ]f_scripts-0.6-r1.apk2024-10-25 22:11 1.4K 
[   ]extundelete-0.2.4-r1.apk2024-10-25 22:11 44K 
[   ]extremetuxracer-doc-0.8.3-r0.apk2024-10-25 22:11 6.7K 
[   ]extremetuxracer-0.8.3-r0.apk2024-10-25 22:11 40M 
[   ]extrace-doc-0.9-r0.apk2024-10-25 22:11 3.5K 
[   ]extrace-0.9-r0.apk2024-10-25 22:11 9.5K 
[   ]exercism-zsh-completion-3.2.0-r7.apk2024-10-25 22:11 2.1K 
[   ]exercism-fish-completion-3.2.0-r7.apk2024-10-25 22:11 2.4K 
[   ]exercism-bash-completion-3.2.0-r7.apk2024-10-25 22:11 2.0K 
[   ]exercism-3.2.0-r7.apk2024-10-25 22:11 3.8M 
[   ]exabgp-pyc-4.2.21-r5.apk2024-10-25 22:11 899K 
[   ]exabgp-openrc-4.2.21-r5.apk2024-10-25 22:11 2.3K 
[   ]exabgp-doc-4.2.21-r5.apk2024-10-25 22:11 8.1K 
[   ]exabgp-4.2.21-r5.apk2024-10-25 22:11 450K 
[   ]evolution-on-3.24.4-r0.apk2024-10-30 15:24 11K 
[   ]eva-0.3.1-r2.apk2024-10-25 22:11 629K 
[   ]ettercap-doc-0.8.3.1-r3.apk2024-10-25 22:11 45K 
[   ]ettercap-0.8.3.1-r3.apk2024-10-25 22:11 561K 
[   ]esptool-pyc-4.8.1-r0.apk2024-10-25 22:11 549K 
[   ]esptool-4.8.1-r0.apk2024-10-25 22:11 424K 
[   ]espeakup-openrc-0.90-r2.apk2024-10-25 22:11 1.8K 
[   ]espeakup-0.90-r2.apk2024-10-25 22:11 11K 
[   ]ergo-ldap-doc-0.0.1-r12.apk2024-10-25 22:11 2.3K 
[   ]ergo-ldap-0.0.1-r12.apk2024-10-25 22:11 2.0M 
[   ]epr-pyc-2.4.15-r1.apk2024-10-25 22:11 24K 
[   ]epr-2.4.15-r1.apk2024-10-25 22:11 16K 
[   ]epoch-1.3.0-r2.apk2024-10-25 22:11 54K 
[   ]envsubst-0.1-r1.apk2024-10-25 22:11 4.9K 
[   ]envconsul-0.13.2-r8.apk2024-10-25 22:11 4.6M 
[   ]enlighten-doc-0.9.2-r1.apk2024-10-25 22:11 3.5K 
[   ]enlighten-0.9.2-r1.apk2024-10-25 22:11 7.3K 
[   ]enjoy-0.3-r1.apk2024-10-25 22:11 11K 
[   ]endlessh-doc-1.1-r0.apk2024-10-25 22:11 2.4K 
[   ]endlessh-1.1-r0.apk2024-10-25 22:11 9.6K 
[   ]endless-sky-doc-0.10.2-r0.apk2024-10-25 22:11 37K 
[   ]endless-sky-0.10.2-r0.apk2024-10-25 22:11 242M 
[   ]endeavour-lang-43.0-r1.apk2024-10-25 22:11 205K 
[   ]endeavour-doc-43.0-r1.apk2024-10-25 22:11 75K 
[   ]endeavour-dev-43.0-r1.apk2024-10-25 22:11 47K 
[   ]endeavour-43.0-r1.apk2024-10-25 22:11 196K 
[   ]emulationstation-theme-gbz35-2.11.2-r1.apk2024-10-25 22:11 3.3M 
[   ]emulationstation-2.11.2-r1.apk2024-10-25 22:11 1.3M 
[   ]empede-openrc-0.2.3-r0.apk2024-10-25 22:11 1.9K 
[   ]empede-doc-0.2.3-r0.apk2024-10-25 22:11 2.3K 
[   ]empede-0.2.3-r0.apk2024-10-25 22:11 1.6M 
[   ]emacs-taxy-magit-section-0.13-r0.apk2024-10-25 22:11 17K 
[   ]emacs-taxy-0.10.1-r0.apk2024-10-25 22:11 11K 
[   ]emacs-svg-lib-0_git20240219-r0.apk2024-10-25 22:11 19K 
[   ]emacs-sqlite3-api-0.18-r0.apk2024-10-25 22:11 17K 
[   ]emacs-powerline-2.4_git20221110-r0.apk2024-10-25 22:11 29K 
[   ]emacs-persist-0.6_git20240114-r0.apk2024-10-25 22:11 6.6K 
[   ]emacs-llama-0.3.1_git20240722-r0.apk2024-10-25 22:11 9.9K 
[   ]emacs-hydra-0.15.0_git20220910-r0.apk2024-10-25 22:11 46K 
[   ]emacs-hnreader-0_git20221116-r0.apk2024-10-25 22:11 9.8K 
[   ]emacs-helm-3.9.7_git20240329-r0.apk2024-10-25 22:11 815K 
[   ]emacs-hackernews-0.7.0-r0.apk2024-10-25 22:11 16K 
[   ]emacs-gnosis-0.3.2-r0.apk2024-10-25 22:11 62K 
[   ]emacs-fossil-0_git20230504-r0.apk2024-10-25 22:11 15K 
[   ]emacs-epkg-3.3.3_git20240713-r0.apk2024-10-25 22:11 37K 
[   ]emacs-ement-0.15.1-r0.apk2024-10-25 22:11 287K 
[   ]emacs-embark-consult-1.0_git20240327-r0.apk2024-10-25 22:11 10K 
[   ]emacs-embark-1.0_git20240327-r0.apk2024-10-25 22:11 110K 
[   ]emacs-emacsql-sqlite-module-3.1.1_git20240714-r0.apk2024-10-25 22:11 4.3K 
[   ]emacs-emacsql-sqlite-3.1.1_git20240714-r0.apk2024-10-25 22:11 18K 
[   ]emacs-emacsql-psql-3.1.1_git20240714-r0.apk2024-10-25 22:11 5.9K 
[   ]emacs-emacsql-mysql-3.1.1_git20240714-r0.apk2024-10-25 22:11 6.1K 
[   ]emacs-emacsql-3.1.1_git20240714-r0.apk2024-10-25 22:11 23K 
[   ]emacs-elfeed-3.4.1_git20240326-r0.apk2024-10-25 22:11 91K 
[   ]emacs-derl-0_git20231004-r0.apk2024-10-25 22:11 23K 
[   ]emacs-consult-1.4_git20240405-r0.apk2024-10-25 22:11 138K 
[   ]emacs-closql-1.2.1_git20240712-r0.apk2024-10-25 22:11 14K 
[   ]emacs-centaur-tabs-3.2_git20230601-r0.apk2024-10-25 22:11 55K 
[   ]emacs-avy-embark-collect-1.0_git20240327-r0.apk2024-10-25 22:11 3.9K 
[   ]emacs-avy-0.5.0_git20230420-r0.apk2024-10-25 22:11 43K 
[   ]emacs-ace-window-0.10.0_git20220911-r0.apk2024-10-25 22:11 23K 
[   ]eludris-doc-0.3.3-r1.apk2024-10-25 22:11 2.3K 
[   ]eludris-0.3.3-r1.apk2024-10-25 22:11 1.9M 
[   ]elfio-dev-3.12-r0.apk2024-10-25 22:11 55K 
[   ]elfio-3.12-r0.apk2024-10-25 22:11 1.4K 
[   ]elf_diff-pyc-0.7.1-r3.apk2024-10-25 22:11 108K 
[   ]elf_diff-0.7.1-r3.apk2024-10-25 22:11 108K 
[   ]elementary-videos-lang-8.0.1-r0.apk2024-11-10 23:07 85K 
[   ]elementary-videos-8.0.1-r0.apk2024-11-10 23:07 114K 
[   ]elementary-sound-theme-1.1.0-r0.apk2024-11-10 23:08 83K 
[   ]elementary-photos-publishing-2.8.0-r1.apk2024-10-25 22:11 233K 
[   ]elementary-photos-lang-2.8.0-r1.apk2024-10-25 22:11 1.3M 
[   ]elementary-photos-2.8.0-r1.apk2024-10-25 22:11 1.2M 
[   ]elementary-music-lang-8.0.0-r0.apk2024-10-28 23:06 47K 
[   ]elementary-music-8.0.0-r0.apk2024-10-28 23:06 75K 
[   ]elementary-icon-theme-8.0.0-r0.apk2024-10-25 22:11 5.0M 
[   ]elementary-feedback-lang-8.0.0-r0.apk2024-10-27 15:17 43K 
[   ]elementary-feedback-8.0.0-r0.apk2024-10-27 15:17 44K 
[   ]elementary-camera-lang-8.0.0-r0.apk2024-10-27 15:19 34K 
[   ]elementary-camera-8.0.0-r0.apk2024-10-27 15:19 86K 
[   ]elementary-calculator-lang-8.0.0-r0.apk2024-10-28 23:05 57K 
[   ]elementary-calculator-8.0.0-r0.apk2024-10-28 23:05 71K 
[   ]eiwd-openrc-2.22-r0.apk2024-10-25 22:11 1.8K 
[   ]eiwd-doc-2.22-r0.apk2024-10-25 22:11 20K 
[   ]eiwd-2.22-r0.apk2024-10-25 22:11 890K 
[   ]efl-gdb-1.27.0-r1.apk2024-10-25 22:11 1.8K 
[   ]efl-dev-1.27.0-r1.apk2024-10-25 22:11 1.9M 
[   ]efl-1.27.0-r1.apk2024-10-25 22:11 34M 
[   ]efibootguard-zsh-completion-0.16-r0.apk2024-10-25 22:11 2.9K 
[   ]efibootguard-dev-0.16-r0.apk2024-10-25 22:11 22K 
[   ]efibootguard-bash-completion-0.16-r0.apk2024-10-25 22:11 3.6K 
[   ]efibootguard-0.16-r0.apk2024-10-25 22:11 105K 
[   ]edward-doc-1.1.0-r0.apk2024-10-25 22:11 5.3K 
[   ]edward-1.1.0-r0.apk2024-10-25 22:11 1.8M 
[   ]ecos-dev-2.0.10-r0.apk2024-10-25 22:11 28K 
[   ]ecos-2.0.10-r0.apk2024-10-25 22:11 41K 
[   ]ecm-doc-7.0.5-r1.apk2024-10-25 22:11 7.2K 
[   ]ecm-dev-7.0.5-r1.apk2024-10-25 22:11 4.9K 
[   ]ecm-7.0.5-r1.apk2024-10-25 22:11 135K 
[   ]ecasound-doc-2.9.3-r3.apk2024-10-25 22:11 39K 
[   ]ecasound-dev-2.9.3-r3.apk2024-10-25 22:11 1.1M 
[   ]ecasound-2.9.3-r3.apk2024-10-25 22:11 733K 
[   ]eboard-doc-1.1.3-r1.apk2024-10-25 22:11 4.7K 
[   ]eboard-1.1.3-r1.apk2024-10-25 22:11 1.5M 
[   ]eatmemory-0.1.6-r2.apk2024-10-25 22:11 4.3K 
[   ]e16-lang-1.0.30-r0.apk2024-11-05 14:22 380K 
[   ]e16-doc-1.0.30-r0.apk2024-11-05 14:22 27K 
[   ]e16-1.0.30-r0.apk2024-11-05 14:22 782K 
[   ]dwl-doc-0.7-r0.apk2024-10-25 22:11 3.1K 
[   ]dwl-0.7-r0.apk2024-10-25 22:11 29K 
[   ]dvdbackup-lang-0.4.2-r1.apk2024-10-25 22:11 1.4K 
[   ]dvdbackup-doc-0.4.2-r1.apk2024-10-25 22:11 7.6K 
[   ]dvdbackup-0.4.2-r1.apk2024-10-25 22:11 17K 
[   ]dustracing2d-2.1.1-r1.apk2024-10-25 22:11 5.2M 
[   ]dune-deps-1.3.0-r2.apk2024-10-25 22:11 747K 
[   ]dumb_runtime_dir-1.0.4-r3.apk2024-10-25 22:11 3.8K 
[   ]dum-0.1.19-r1.apk2024-10-25 22:11 234K 
[   ]dulcepan-1.0.2-r0.apk2024-10-25 22:11 21K 
[   ]duf-0.8.1-r21.apk2024-10-25 22:11 1.0M 
[   ]duc-doc-1.4.5-r0.apk2024-10-25 22:11 9.1K 
[   ]duc-1.4.5-r0.apk2024-10-25 22:11 87K 
[   ]dublin-traceroute-doc-0.4.2-r4.apk2024-10-25 22:11 2.3K 
[   ]dublin-traceroute-dev-0.4.2-r4.apk2024-10-25 22:11 6.9K 
[   ]dublin-traceroute-contrib-0.4.2-r4.apk2024-10-25 22:11 2.9K 
[   ]dublin-traceroute-0.4.2-r4.apk2024-10-25 22:11 50K 
[   ]dstask-zsh-completion-0.26-r9.apk2024-10-25 22:11 1.7K 
[   ]dstask-import-0.26-r9.apk2024-10-25 22:11 3.2M 
[   ]dstask-bash-completion-0.26-r9.apk2024-10-25 22:11 2.1K 
[   ]dstask-0.26-r9.apk2024-10-25 22:11 1.3M 
[   ]dsp-doc-1.9-r2.apk2024-10-25 22:11 7.2K 
[   ]dsp-1.9-r2.apk2024-10-25 22:11 98K 
[   ]dsnet-doc-0.7.3-r5.apk2024-10-25 22:11 9.3K 
[   ]dsnet-0.7.3-r5.apk2024-10-25 22:11 3.7M 
[   ]drupal7-doc-7.102-r0.apk2024-11-21 07:07 57K 
[   ]drupal7-7.102-r0.apk2024-11-21 07:07 3.3M 
[   ]drumgizmo-0.9.20-r1.apk2024-10-25 22:11 413K 
[   ]dropwatch-doc-1.5.4-r6.apk2024-10-25 22:11 3.7K 
[   ]dropwatch-1.5.4-r6.apk2024-10-25 22:11 16K 
[   ]drone-cli-1.8.0-r5.apk2024-10-25 22:11 5.4M 
[   ]droidcam-gui-2.1.3-r1.apk2024-11-08 22:25 28K 
[   ]droidcam-2.1.3-r1.apk2024-11-08 22:25 18K 
[   ]drogon-doc-1.9.4-r1.apk2024-10-25 22:11 2.3K 
[   ]drogon-dev-1.9.4-r1.apk2024-10-25 22:11 125K 
[   ]drogon-1.9.4-r1.apk2024-10-25 22:11 1.6M 
[   ]drawing-lang-1.0.2-r0.apk2024-10-25 22:11 272K 
[   ]drawing-1.0.2-r0.apk2024-10-25 22:11 3.2M 
[   ]draw-0.1.1-r8.apk2024-10-25 22:11 956K 
[   ]draco-tools-1.5.7-r1.apk2024-10-25 22:11 1.3M 
[   ]draco-static-1.5.7-r1.apk2024-10-25 22:11 1.4M 
[   ]draco-dev-1.5.7-r1.apk2024-10-25 22:11 213K 
[   ]draco-1.5.7-r1.apk2024-10-25 22:11 893K 
[   ]downloader-cli-0.3.4-r1.apk2024-10-25 22:11 2.0K 
[   ]dovi-tool-2.1.2-r0.apk2024-10-25 22:11 1.2M 
[   ]dotenv-linter-3.3.0-r1.apk2024-10-25 22:11 961K 
[   ]dooit-pyc-3.0.3-r0.apk2024-11-18 19:00 98K 
[   ]dooit-extras-pyc-0.1.0-r0.apk2024-11-18 19:00 23K 
[   ]dooit-extras-0.1.0-r0.apk2024-11-18 19:00 13K 
[   ]dooit-3.0.3-r0.apk2024-11-18 19:00 44K 
[   ]dodo-pyc-0_git20241007-r0.apk2024-10-25 22:11 86K 
[   ]dodo-0_git20241007-r0.apk2024-10-25 22:11 186K 
[   ]dockerize-0.7.0-r8.apk2024-10-25 22:11 3.0M 
[   ]docker-volume-local-persist-openrc-1.3.0-r28.apk2024-10-25 22:11 1.8K 
[   ]docker-volume-local-persist-1.3.0-r28.apk2024-10-25 22:11 2.3M 
[   ]docker-auth-openrc-1.12.0-r0.apk2024-11-13 12:45 2.0K 
[   ]docker-auth-1.12.0-r0.apk2024-11-13 12:45 10M 
[   ]doasedit-1.0.7-r0.apk2024-10-25 22:11 3.6K 
[   ]dnssec-tools-doc-2.2.3-r12.apk2024-10-25 22:11 315K 
[   ]dnssec-tools-dev-2.2.3-r12.apk2024-10-25 22:11 186K 
[   ]dnssec-tools-2.2.3-r12.apk2024-10-25 22:11 767K 
[   ]dnsperf-doc-2.14.0-r0.apk2024-10-25 22:11 35K 
[   ]dnsperf-2.14.0-r0.apk2024-10-25 22:11 77K 
[   ]dnsenum-doc-1.3.2-r0.apk2024-10-25 22:11 5.2K 
[   ]dnsenum-1.3.2-r0.apk2024-10-25 22:11 21K 
[   ]dnscrypt-wrapper-0.4.2-r3.apk2024-10-25 22:11 30K 
[   ]dnscontrol-doc-4.14.3-r0.apk2024-11-08 22:27 2.2K 
[   ]dnscontrol-4.14.3-r0.apk2024-11-08 22:27 14M 
[   ]dmarc-metrics-exporter-pyc-1.1.0-r0.apk2024-10-25 22:11 47K 
[   ]dmarc-metrics-exporter-openrc-1.1.0-r0.apk2024-10-25 22:11 1.9K 
[   ]dmarc-metrics-exporter-1.1.0-r0.apk2024-10-25 22:11 26K 
[   ]dmarc-cat-0.15.0-r0.apk2024-10-25 22:11 2.5M 
[   ]dlib-dev-19.24.4-r0.apk2024-10-25 22:11 2.4M 
[   ]dlib-19.24.4-r0.apk2024-10-25 22:11 830K 
[   ]dived-doc-1.9.0-r0.apk2024-10-25 22:11 11K 
[   ]dived-1.9.0-r0.apk2024-10-25 22:11 23K 
[   ]dive-0.12.0-r0.apk2024-10-25 22:11 4.0M 
[   ]dissent-0.0.25-r1.apk2024-10-25 22:11 15M 
[   ]dislocker-libs-0.7.3-r5.apk2024-10-25 22:11 47K 
[   ]dislocker-doc-0.7.3-r5.apk2024-10-25 22:11 6.1K 
[   ]dislocker-0.7.3-r5.apk2024-10-25 22:11 13K 
[   ]diskus-0.7.0-r2.apk2024-10-25 22:11 332K 
[   ]diskonaut-0.11.0-r3.apk2024-10-25 22:11 454K 
[   ]disfetch-3.7-r0.apk2024-10-25 22:11 8.3K 
[   ]ding-libs-dev-0.6.2-r4.apk2024-10-25 22:11 68K 
[   ]ding-libs-0.6.2-r4.apk2024-10-25 22:11 74K 
[   ]diceware-pyc-0.10-r1.apk2024-10-25 22:11 17K 
[   ]diceware-0.10-r1.apk2024-10-25 22:11 218K 
[   ]dhewm3-1.5.2-r0.apk2024-10-25 22:11 4.7M 
[   ]dfu-programmer-doc-1.1.0-r0.apk2024-10-25 22:11 5.8K 
[   ]dfu-programmer-bash-completion-1.1.0-r0.apk2024-10-25 22:11 2.8K 
[   ]dfu-programmer-1.1.0-r0.apk2024-10-25 22:11 33K 
[   ]dfl-sni-dev-0.2.0-r0.apk2024-10-25 22:11 4.1K 
[   ]dfl-sni-0.2.0-r0.apk2024-10-25 22:11 34K 
[   ]dfl-login1-dev-0.2.0-r0.apk2024-10-25 22:11 3.5K 
[   ]dfl-login1-0.2.0-r0.apk2024-10-25 22:11 18K 
[   ]dfl-ipc-dev-0.2.0-r0.apk2024-10-25 22:11 3.6K 
[   ]dfl-ipc-0.2.0-r0.apk2024-10-25 22:11 22K 
[   ]dfl-applications-dev-0.2.0-r0.apk2024-10-25 22:11 4.1K 
[   ]dfl-applications-0.2.0-r0.apk2024-10-25 22:11 36K 
[   ]dex-doc-0.9.0-r1.apk2024-10-25 22:11 5.8K 
[   ]dex-0.9.0-r1.apk2024-10-25 22:11 7.9K 
[   ]dewduct-0.2.3-r0.apk2024-10-25 22:11 1.1M 
[   ]devil-dev-1.8.0-r0.apk2024-10-25 22:11 13K 
[   ]devil-1.8.0-r0.apk2024-10-25 22:11 269K 
[   ]detox-doc-2.0.0-r0.apk2024-10-25 22:11 21K 
[   ]detox-2.0.0-r0.apk2024-10-25 22:11 108K 
[   ]desync-0.9.5-r8.apk2024-10-25 22:11 7.4M 
[   ]desed-doc-1.2.1-r1.apk2024-10-25 22:11 2.9K 
[   ]desed-1.2.1-r1.apk2024-10-25 22:11 403K 
[   ]dehydrated-0.7.1-r0.apk2024-10-25 22:11 26K 
[   ]decoder-lang-0.6.1-r0.apk2024-10-25 22:11 59K 
[   ]decoder-0.6.1-r0.apk2024-10-25 22:11 2.0M 
[   ]debconf-utils-1.5.82-r0.apk2024-10-25 22:11 6.7K 
[   ]debconf-lang-1.5.82-r0.apk2024-10-25 22:11 132K 
[   ]debconf-doc-1.5.82-r0.apk2024-10-25 22:11 27K 
[   ]debconf-bash-completion-1.5.82-r0.apk2024-10-25 22:11 1.9K 
[   ]debconf-1.5.82-r0.apk2024-10-25 22:11 69K 
[   ]deadbeef-soxr-20180801-r0.apk2024-10-25 22:11 6.2K 
[   ]ddserver-0_git20200930-r1.apk2024-10-25 22:11 13K 
[   ]ddnrs-openrc-0.3.0-r0.apk2024-10-25 22:11 2.0K 
[   ]ddnrs-0.3.0-r0.apk2024-10-25 22:11 967K 
[   ]ddgr-zsh-completion-2.2-r0.apk2024-10-25 22:11 2.7K 
[   ]ddgr-fish-completion-2.2-r0.apk2024-10-25 22:11 2.3K 
[   ]ddgr-doc-2.2-r0.apk2024-10-25 22:11 12K 
[   ]ddgr-bash-completion-2.2-r0.apk2024-10-25 22:11 2.2K 
[   ]ddgr-2.2-r0.apk2024-10-25 22:11 20K 
[   ]ddcci-driver-linux-src-0.4.5-r0.apk2024-10-25 22:11 19K 
[   ]dcnnt-pyc-0.10.0-r1.apk2024-10-25 22:11 62K 
[   ]dcnnt-doc-0.10.0-r1.apk2024-10-25 22:11 6.6K 
[   ]dcnnt-0.10.0-r1.apk2024-10-25 22:11 28K 
[   ]dcmtk-openrc-3.6.8-r0.apk2024-10-25 22:11 1.8K 
[   ]dcmtk-doc-3.6.8-r0.apk2024-10-25 22:11 266K 
[   ]dcmtk-dev-3.6.8-r0.apk2024-10-25 22:11 1.6M 
[   ]dcmtk-3.6.8-r0.apk2024-10-25 22:11 1.3M 
[   ]dbus-waiter-0.2.0-r0.apk2024-10-25 22:11 680K 
[   ]dbus-broker-doc-36-r0.apk2024-10-25 22:11 5.8K 
[   ]dbus-broker-36-r0.apk2024-10-25 22:11 82K 
[   ]dbmate-doc-2.16.0-r0.apk2024-10-25 22:11 2.3K 
[   ]dbmate-2.16.0-r0.apk2024-10-25 22:11 10M 
[   ]dasht-zsh-completion-2.4.0-r0.apk2024-10-25 22:11 2.1K 
[   ]dasht-doc-2.4.0-r0.apk2024-10-25 22:11 11K 
[   ]dasht-2.4.0-r0.apk2024-10-25 22:11 14K 
[   ]darts-clone-dev-0_git20181117-r0.apk2024-10-25 22:11 13K 
[   ]darts-clone-0_git20181117-r0.apk2024-10-25 22:11 40K 
[   ]daktilo-zsh-completion-0.6.0-r0.apk2024-10-25 22:11 2.3K 
[   ]daktilo-fish-completion-0.6.0-r0.apk2024-10-25 22:11 1.9K 
[   ]daktilo-doc-0.6.0-r0.apk2024-10-25 22:11 8.7K 
[   ]daktilo-bash-completion-0.6.0-r0.apk2024-10-25 22:11 2.2K 
[   ]daktilo-0.6.0-r0.apk2024-10-25 22:11 1.8M 
[   ]daemontools-openrc-0.76-r3.apk2024-10-25 22:11 2.0K 
[   ]daemontools-0.76-r3.apk2024-10-25 22:11 66K 
[   ]cz-viator-hourglass-black-20210706-r0.apk2024-10-25 22:11 219K 
[   ]cyrus-sasl-xoauth2-static-0.2-r1.apk2024-10-25 22:11 7.1K 
[   ]cyrus-sasl-xoauth2-doc-0.2-r1.apk2024-10-25 22:11 2.3K 
[   ]cyrus-sasl-xoauth2-0.2-r1.apk2024-10-25 22:11 6.8K 
[   ]cvs-fast-export-tools-1.65-r0.apk2024-10-25 22:11 8.7K 
[   ]cvs-fast-export-doc-1.65-r0.apk2024-10-25 22:11 17K 
[   ]cvs-fast-export-1.65-r0.apk2024-10-25 22:11 47K 
[   ]cvise-pyc-2.8.0-r2.apk2024-10-25 22:11 63K 
[   ]cvise-2.8.0-r2.apk2024-10-25 22:11 6.5M 
[   ]cutechess-doc-1.3.1-r0.apk2024-10-25 22:11 3.6K 
[   ]cutechess-cli-doc-1.3.1-r0.apk2024-10-25 22:11 6.6K 
[   ]cutechess-cli-1.3.1-r0.apk2024-10-25 22:11 349K 
[   ]cutechess-1.3.1-r0.apk2024-10-25 22:11 1.1M 
[   ]curtail-lang-1.11.1-r0.apk2024-10-25 22:11 66K 
[   ]curtail-1.11.1-r0.apk2024-10-25 22:11 27K 
[   ]curlftpfs-doc-0.9.2-r3.apk2024-10-25 22:11 6.1K 
[   ]curlftpfs-0.9.2-r3.apk2024-10-25 22:11 27K 
[   ]cups-pdf-3.0.1-r2.apk2024-10-25 22:11 21K 
[   ]ctorrent-dnh-3.3.2-r2.apk2024-10-25 22:11 99K 
[   ]csol-doc-1.6.0-r0.apk2024-10-25 22:11 3.8K 
[   ]csol-1.6.0-r0.apk2024-10-25 22:11 37K 
[   ]csmith-doc-2.3.0-r2.apk2024-10-25 22:11 3.1K 
[   ]csmith-2.3.0-r2.apk2024-10-25 22:11 330K 
[   ]csfml-doc-2.5.2-r0.apk2024-10-25 22:11 204K 
[   ]csfml-dev-2.5.2-r0.apk2024-10-25 22:11 77K 
[   ]csfml-2.5.2-r0.apk2024-10-25 22:11 104K 
[   ]cscope-doc-15.9-r1.apk2024-10-25 22:11 7.5K 
[   ]cscope-15.9-r1.apk2024-10-25 22:11 155K 
[   ]crun-vm-doc-0.3.0-r0.apk2024-11-12 12:35 13K 
[   ]crun-vm-0.3.0-r0.apk2024-11-12 12:35 1.1M 
[   ]crowdsec-splunk-plugin-1.6.3-r0.apk2024-10-25 22:11 4.7M 
[   ]crowdsec-slack-plugin-1.6.3-r0.apk2024-10-25 22:11 4.7M 
[   ]crowdsec-openrc-1.6.3-r0.apk2024-10-25 22:11 1.8K 
[   ]crowdsec-http-plugin-1.6.3-r0.apk2024-10-25 22:11 4.7M 
[   ]crowdsec-email-plugin-1.6.3-r0.apk2024-10-25 22:11 4.5M 
[   ]crowdsec-1.6.3-r0.apk2024-10-25 22:11 39M 
[   ]crosstool-ng-doc-1.26.0_git20240914-r0.apk2024-10-25 22:11 3.9K 
[   ]crosstool-ng-bash-completion-1.26.0_git20240914-r0.apk2024-10-25 22:11 2.2K 
[   ]crosstool-ng-1.26.0_git20240914-r0.apk2024-10-25 22:11 2.1M 
[   ]crossplane-pyc-0.5.8-r3.apk2024-10-25 22:11 39K 
[   ]crossplane-0.5.8-r3.apk2024-10-25 22:11 30K 
[   ]critcl-doc-3.3.1-r0.apk2024-10-25 22:11 787K 
[   ]critcl-3.3.1-r0.apk2024-10-25 22:11 434K 
[   ]crispy-doom-doc-7.0-r0.apk2024-10-25 22:11 107K 
[   ]crispy-doom-7.0-r0.apk2024-10-25 22:11 1.8M 
[   ]createrepo_c-libs-1.1.4-r0.apk2024-10-25 22:11 89K 
[   ]createrepo_c-doc-1.1.4-r0.apk2024-10-25 22:11 8.7K 
[   ]createrepo_c-dev-1.1.4-r0.apk2024-10-25 22:11 31K 
[   ]createrepo_c-bash-completion-1.1.4-r0.apk2024-10-25 22:11 2.9K 
[   ]createrepo_c-1.1.4-r0.apk2024-10-25 22:11 50K 
[   ]create-tauri-app-doc-4.5.7-r0.apk2024-11-05 13:55 6.1K 
[   ]create-tauri-app-4.5.7-r0.apk2024-11-05 13:55 650K 
[   ]crazydiskinfo-1.1.0-r1.apk2024-10-25 22:11 36K 
[   ]cpuburn-1.4a_git20160316-r2.apk2024-10-25 22:11 4.1K 
[   ]cpu-x-zsh-completion-5.0.4-r1.apk2024-11-16 16:11 2.1K 
[   ]cpu-x-lang-5.0.4-r1.apk2024-11-16 16:11 216K 
[   ]cpu-x-fish-completion-5.0.4-r1.apk2024-11-16 16:11 2.2K 
[   ]cpu-x-bash-completion-5.0.4-r1.apk2024-11-16 16:11 2.0K 
[   ]cpu-x-5.0.4-r1.apk2024-11-16 16:11 1.7M 
[   ]cpplint-pyc-2.0.0-r0.apk2024-11-21 20:52 96K 
[   ]cpplint-2.0.0-r0.apk2024-11-21 20:52 77K 
[   ]cpiped-0.1.0-r0.apk2024-10-25 22:11 6.8K 
[   ]coxeter-libs-3.0-r1.apk2024-10-25 22:11 338K 
[   ]coxeter-dev-3.0-r1.apk2024-10-25 22:11 57K 
[   ]coxeter-3.0-r1.apk2024-10-25 22:11 49K 
[   ]cowsay-doc-3.04-r2.apk2024-10-25 22:11 4.0K 
[   ]cowsay-3.04-r2.apk2024-10-25 22:11 18K 
[   ]coreboot-tools-nvramtool-doc-24.08-r0.apk2024-10-25 22:11 4.2K 
[   ]coreboot-tools-nvramtool-24.08-r0.apk2024-10-25 22:11 23K 
[   ]coreboot-tools-intelmetool-24.08-r0.apk2024-10-25 22:11 13K 
[   ]coreboot-tools-ifdtool-24.08-r0.apk2024-10-25 22:11 22K 
[   ]coreboot-tools-ectool-24.08-r0.apk2024-10-25 22:11 5.9K 
[   ]coreboot-tools-configurator-24.08-r0.apk2024-10-25 22:11 151K 
[   ]coreboot-tools-24.08-r0.apk2024-10-25 22:11 1.4K 
[   ]copyq-doc-9.1.0-r0.apk2024-11-18 20:47 3.4K 
[   ]copyq-bash-completion-9.1.0-r0.apk2024-11-18 20:47 2.2K 
[   ]copyq-9.1.0-r0.apk2024-11-18 20:47 2.7M 
[   ]convert2json-1.1.0-r0.apk2024-11-02 11:02 2.3M 
[   ]contractor-0.3.5-r0.apk2024-11-12 22:54 27K 
[   ]consul-replicate-0.4.0-r26.apk2024-10-25 22:11 2.6M 
[   ]console_bridge-dev-1.0.2-r0.apk2024-10-25 22:11 4.7K 
[   ]console_bridge-1.0.2-r0.apk2024-10-25 22:11 9.8K 
[   ]conntracct-openrc-0.2.7-r26.apk2024-10-25 22:11 1.9K 
[   ]conntracct-0.2.7-r26.apk2024-10-25 22:11 4.8M 
[   ]confd-openrc-0.30.0-r0.apk2024-10-25 22:11 1.7K 
[   ]confd-doc-0.30.0-r0.apk2024-10-25 22:11 2.3K 
[   ]confd-0.30.0-r0.apk2024-10-25 22:11 8.3M 
[   ]compiz-utils-0.9.14.2-r6.apk2024-10-25 22:11 3.3K 
[   ]compiz-pyc-0.9.14.2-r6.apk2024-10-25 22:11 112K 
[   ]compiz-lang-0.9.14.2-r6.apk2024-10-25 22:11 1.2M 
[   ]compiz-dev-0.9.14.2-r6.apk2024-10-25 22:11 121K 
[   ]compiz-0.9.14.2-r6.apk2024-10-25 22:11 6.2M 
[   ]commoncpp-tools-7.0.1-r1.apk2024-10-25 22:11 44K 
[   ]commoncpp-doc-7.0.1-r1.apk2024-10-25 22:11 15K 
[   ]commoncpp-dev-7.0.1-r1.apk2024-10-25 22:11 173K 
[   ]commoncpp-7.0.1-r1.apk2024-10-25 22:11 318K 
[   ]comics-downloader-gui-0.33.8-r5.apk2024-10-25 22:11 5.3M 
[   ]comics-downloader-0.33.8-r5.apk2024-10-25 22:11 3.5M 
[   ]colorpicker-0_git20201128-r1.apk2024-10-25 22:11 4.2K 
[   ]colormake-doc-0.9.20170221-r0.apk2024-10-25 22:11 2.7K 
[   ]colormake-0.9.20170221-r0.apk2024-10-25 22:11 4.0K 
[   ]coin-dev-4.0.0-r7.apk2024-10-25 22:11 354K 
[   ]coin-4.0.0-r7.apk2024-10-25 22:11 3.4M 
[   ]codeberg-cli-zsh-completion-0.4.6-r0.apk2024-11-14 14:12 6.4K 
[   ]codeberg-cli-fish-completion-0.4.6-r0.apk2024-11-14 14:12 4.5K 
[   ]codeberg-cli-bash-completion-0.4.6-r0.apk2024-11-14 14:12 4.9K 
[   ]codeberg-cli-0.4.6-r0.apk2024-11-14 14:12 1.9M 
[   ]cocogitto-zsh-completion-6.1.0-r0.apk2024-10-25 22:11 3.1K 
[   ]cocogitto-fish-completion-6.1.0-r0.apk2024-10-25 22:11 3.4K 
[   ]cocogitto-doc-6.1.0-r0.apk2024-10-25 22:11 35K 
[   ]cocogitto-bash-completion-6.1.0-r0.apk2024-10-25 22:11 3.1K 
[   ]cocogitto-6.1.0-r0.apk2024-10-25 22:11 2.0M 
[   ]coccinelle-doc-1.1.1-r2.apk2024-10-25 22:11 16K 
[   ]coccinelle-bash-completion-1.1.1-r2.apk2024-10-25 22:11 2.9K 
[   ]coccinelle-1.1.1-r2.apk2024-10-25 22:11 6.4M 
[   ]cmusfm-0.5.0-r0.apk2024-10-25 22:11 15K 
[   ]clustershell-pyc-1.9.2-r1.apk2024-10-25 22:11 378K 
[   ]clustershell-doc-1.9.2-r1.apk2024-10-25 22:11 24K 
[   ]clustershell-1.9.2-r1.apk2024-10-25 22:11 158K 
[   ]cluster-glue-libs-1.0.12-r5.apk2024-10-25 22:11 112K 
[   ]cluster-glue-doc-1.0.12-r5.apk2024-10-25 22:11 33K 
[   ]cluster-glue-dev-1.0.12-r5.apk2024-10-25 22:11 957K 
[   ]cluster-glue-1.0.12-r5.apk2024-10-25 22:11 249K 
[   ]cloudfoundry-cli-8.7.9-r4.apk2024-10-25 22:11 8.8M 
[   ]cloudflared-openrc-2024.10.0-r0.apk2024-10-25 22:11 1.7K 
[   ]cloudflared-doc-2024.10.0-r0.apk2024-10-25 22:11 1.8K 
[   ]cloudflared-2024.10.0-r0.apk2024-10-25 22:11 9.2M 
[   ]cln-doc-1.3.7-r0.apk2024-10-25 22:11 78K 
[   ]cln-dev-1.3.7-r0.apk2024-10-25 22:11 1.1M 
[   ]cln-1.3.7-r0.apk2024-10-25 22:11 486K 
[   ]cliquer-tests-1.22-r2.apk2024-10-25 22:11 24K 
[   ]cliquer-static-1.22-r2.apk2024-10-25 22:11 30K 
[   ]cliquer-libs-1.22-r2.apk2024-10-25 22:11 26K 
[   ]cliquer-dev-1.22-r2.apk2024-10-25 22:11 7.4K 
[   ]cliquer-1.22-r2.apk2024-10-25 22:11 8.1K 
[   ]clipit-doc-1.4.5-r3.apk2024-10-25 22:11 2.4K 
[   ]clipit-1.4.5-r3.apk2024-10-25 22:11 67K 
[   ]cliphist-fzf-0.6.1-r0.apk2024-10-25 22:11 1.7K 
[   ]cliphist-0.6.1-r0.apk2024-10-25 22:11 946K 
[   ]clinfo-doc-3.0.23.01.25-r0.apk2024-10-25 22:11 6.5K 
[   ]clinfo-3.0.23.01.25-r0.apk2024-10-25 22:11 46K 
[   ]click-pyc-0.5.2-r3.apk2024-10-25 22:11 176K 
[   ]click-doc-0.5.2-r3.apk2024-10-25 22:11 3.3K 
[   ]click-dev-0.5.2-r3.apk2024-10-25 22:11 9.3K 
[   ]click-0.5.2-r3.apk2024-10-25 22:11 162K 
[   ]clevis-extra-pins-0_git20230629-r0.apk2024-10-25 22:11 4.7K 
[   ]clevis-doc-19-r0.apk2024-10-25 22:11 22K 
[   ]clevis-dbg-19-r0.apk2024-10-25 22:11 47K 
[   ]clevis-bash-completion-19-r0.apk2024-10-25 22:11 2.1K 
[   ]clevis-19-r0.apk2024-10-25 22:11 46K 
[   ]clementine-1.4.0_git20220324-r12.apk2024-10-25 22:11 7.7M 
[   ]clatd-1.6-r0.apk2024-10-25 22:11 13K 
[   ]ckb-next-dev-0.6.0-r1.apk2024-10-25 22:11 5.0K 
[   ]ckb-next-daemon-openrc-0.6.0-r1.apk2024-10-25 22:11 1.9K 
[   ]ckb-next-daemon-0.6.0-r1.apk2024-10-25 22:11 69K 
[   ]ckb-next-0.6.0-r1.apk2024-10-25 22:11 1.3M 
[   ]circuslinux-doc-1.0.3-r1.apk2024-10-25 22:11 18K 
[   ]circuslinux-data-1.0.3-r1.apk2024-10-25 22:11 1.1M 
[   ]circuslinux-1.0.3-r1.apk2024-10-25 22:11 20K 
[   ]cimg-3.4.1-r0.apk2024-10-25 22:11 826K 
[   ]cilium-cli-zsh-completion-0.16.13-r0.apk2024-10-25 22:11 4.0K 
[   ]cilium-cli-fish-completion-0.16.13-r0.apk2024-10-25 22:11 4.3K 
[   ]cilium-cli-bash-completion-0.16.13-r0.apk2024-10-25 22:11 5.1K 
[   ]cilium-cli-0.16.13-r0.apk2024-10-25 22:11 56M 
[   ]chocolate-doom-doc-3.1.0-r0.apk2024-10-25 22:11 231K 
[   ]chocolate-doom-3.1.0-r0.apk2024-10-25 22:11 1.6M 
[   ]chim-doc-1.1.2-r1.apk2024-10-25 22:11 2.8K 
[   ]chim-1.1.2-r1.apk2024-10-25 22:11 1.7M 
[   ]chicago95-icons-3.0.1_git20240619-r0.apk2024-10-25 22:11 12M 
[   ]chicago95-fonts-3.0.1_git20240619-r0.apk2024-10-25 22:11 215K 
[   ]chicago95-3.0.1_git20240619-r0.apk2024-10-25 22:11 481K 
[   ]cherrytree-lang-1.2.0-r0.apk2024-11-05 22:54 846K 
[   ]cherrytree-doc-1.2.0-r0.apk2024-11-05 22:54 2.1K 
[   ]cherrytree-1.2.0-r0.apk2024-11-05 22:54 2.6M 
[   ]checkpolicy-doc-3.6-r0.apk2024-10-25 22:11 4.2K 
[   ]checkpolicy-3.6-r0.apk2024-10-25 22:11 354K 
[   ]charls-dev-2.4.2-r0.apk2024-10-25 22:11 27K 
[   ]charls-2.4.2-r0.apk2024-10-25 22:11 67K 
[   ]chamo-dev-4.0-r0.apk2024-10-25 22:11 4.1M 
[   ]chamo-byte-4.0-r0.apk2024-10-25 22:11 1.5M 
[   ]chamo-4.0-r0.apk2024-10-25 22:11 5.8M 
[   ]cgo-doc-0.6.1-r1.apk2024-10-25 22:11 4.1K 
[   ]cgo-0.6.1-r1.apk2024-10-25 22:11 11K 
[   ]cgiirc-0.5.12-r1.apk2024-10-25 22:11 133K 
[   ]cfssl-1.6.5-r0.apk2024-10-25 22:11 28M 
[   ]certstrap-1.3.0-r18.apk2024-10-25 22:11 2.1M 
[   ]certigo-1.16.0-r18.apk2024-10-25 22:11 3.4M 
[   ]certbot-dns-pdns-pyc-0.1.1-r0.apk2024-10-25 22:11 3.9K 
[   ]certbot-dns-pdns-0.1.1-r0.apk2024-10-25 22:11 8.9K 
[   ]certbot-dns-njalla-1.0.2-r3.apk2024-10-25 22:11 14K 
[   ]cdogs-sdl-2.1.0-r0.apk2024-10-25 22:11 34M 
[   ]cdist-pyc-7.0.0-r6.apk2024-10-25 22:11 128K 
[   ]cdist-7.0.0-r6.apk2024-10-25 22:11 511K 
[   ]cddlib-tools-0.94m-r2.apk2024-10-25 22:11 36K 
[   ]cddlib-static-0.94m-r2.apk2024-10-25 22:11 285K 
[   ]cddlib-doc-0.94m-r2.apk2024-10-25 22:11 864K 
[   ]cddlib-dev-0.94m-r2.apk2024-10-25 22:11 14K 
[   ]cddlib-0.94m-r2.apk2024-10-25 22:11 219K 
[   ]cdba-server-1.0-r2.apk2024-10-25 22:11 22K 
[   ]cdba-1.0-r2.apk2024-10-25 22:11 8.0K 
[   ]ccze-doc-0.2.1-r1.apk2024-10-25 22:11 8.8K 
[   ]ccze-dev-0.2.1-r1.apk2024-10-25 22:11 3.3K 
[   ]ccze-0.2.1-r1.apk2024-10-25 22:11 51K 
[   ]ccrtp-doc-2.1.2-r0.apk2024-10-25 22:11 31K 
[   ]ccrtp-dev-2.1.2-r0.apk2024-10-25 22:11 53K 
[   ]ccrtp-2.1.2-r0.apk2024-10-25 22:11 112K 
[   ]cc65-2.19-r0.apk2024-10-25 22:11 8.9M 
[   ]cava-0.10.3-r0.apk2024-11-18 19:00 42K 
[   ]catdoc-doc-0.95-r1.apk2024-10-25 22:11 9.2K 
[   ]catdoc-0.95-r1.apk2024-10-25 22:11 112K 
[   ]catcodec-doc-1.0.5-r2.apk2024-10-25 22:11 4.9K 
[   ]catcodec-1.0.5-r2.apk2024-10-25 22:11 14K 
[   ]castor-0.9.0-r2.apk2024-10-25 22:11 711K 
[   ]castero-pyc-0.9.5-r3.apk2024-10-25 22:11 95K 
[   ]castero-0.9.5-r3.apk2024-10-25 22:11 52K 
[   ]cargo-vendor-filterer-0.5.9-r1.apk2024-10-25 22:11 458K 
[   ]cargo-update-doc-15.0.0-r0.apk2024-10-25 22:11 8.1K 
[   ]cargo-update-15.0.0-r0.apk2024-10-25 22:11 1.2M 
[   ]cargo-sort-1.0.9_git20240110-r0.apk2024-10-25 22:11 412K 
[   ]cargo-shuttle-zsh-completion-0.48.3-r0.apk2024-10-25 22:11 6.3K 
[   ]cargo-shuttle-fish-completion-0.48.3-r0.apk2024-10-25 22:11 5.4K 
[   ]cargo-shuttle-doc-0.48.3-r0.apk2024-10-25 22:11 9.4K 
[   ]cargo-shuttle-bash-completion-0.48.3-r0.apk2024-10-25 22:11 4.3K 
[   ]cargo-shuttle-0.48.3-r0.apk2024-10-25 22:11 5.2M 
[   ]cargo-run-bin-doc-1.7.2-r0.apk2024-10-25 22:11 5.1K 
[   ]cargo-run-bin-1.7.2-r0.apk2024-10-25 22:11 448K 
[   ]cargo-machete-doc-0.6.2-r0.apk2024-10-25 22:11 4.0K 
[   ]cargo-machete-0.6.2-r0.apk2024-10-25 22:11 1.2M 
[   ]cargo-generate-0.22.0-r0.apk2024-10-25 22:11 2.1M 
[   ]cargo-expand-doc-1.0.94-r0.apk2024-11-05 14:13 5.7K 
[   ]cargo-expand-1.0.94-r0.apk2024-11-05 14:13 2.2M 
[   ]cargo-crev-0.25.9-r0.apk2024-10-25 22:11 5.9M 
[   ]care-doc-2.3.0-r1.apk2024-10-25 22:11 7.9K 
[   ]care-2.3.0-r1.apk2024-10-25 22:11 102K 
[   ]caps2esc-0.3.2-r0.apk2024-10-25 22:11 4.6K 
[   ]caja-gtkhash-plugin-1.5-r0.apk2024-10-25 22:11 28K 
[   ]caffeine-ng-lang-4.2.0-r1.apk2024-10-25 22:11 34K 
[   ]caffeine-ng-doc-4.2.0-r1.apk2024-10-25 22:11 3.2K 
[   ]caffeine-ng-4.2.0-r1.apk2024-10-25 22:11 100K 
[   ]cadence-0.9.2-r0.apk2024-10-25 22:11 1.9M 
[   ]c2rust-0.18.0-r1.apk2024-10-25 22:11 1.9M 
[   ]bwrap-oci-doc-0.2-r1.apk2024-10-25 22:11 2.5K 
[   ]bwrap-oci-0.2-r1.apk2024-10-25 22:11 17K 
[   ]butane-0.22.0-r0.apk2024-10-25 22:11 2.7M 
[   ]burp-server-3.1.4-r0.apk2024-10-25 22:11 36K 
[   ]burp-doc-3.1.4-r0.apk2024-10-25 22:11 99K 
[   ]burp-3.1.4-r0.apk2024-10-25 22:11 203K 
[   ]bump2version-pyc-1.0.1-r6.apk2024-10-25 22:11 29K 
[   ]bump2version-1.0.1-r6.apk2024-10-25 22:11 21K 
[   ]buildcache-0.28.9-r0.apk2024-10-25 22:11 847K 
[   ]btpd-doc-0.16-r2.apk2024-10-25 22:11 8.4K 
[   ]btpd-0.16-r2.apk2024-10-25 22:11 91K 
[   ]btfs-doc-2.24-r12.apk2024-10-25 22:11 2.4K 
[   ]btfs-2.24-r12.apk2024-10-25 22:11 32K 
[   ]brogue-1.14.1-r0.apk2024-11-15 02:11 863K 
[   ]brltty-static-6.7-r0.apk2024-10-25 22:11 24K 
[   ]brltty-lang-6.7-r0.apk2024-10-25 22:11 149K 
[   ]brltty-doc-6.7-r0.apk2024-10-25 22:11 9.4K 
[   ]brltty-dev-6.7-r0.apk2024-10-25 22:11 140K 
[   ]brltty-6.7-r0.apk2024-10-25 22:11 2.2M 
[   ]brial-dev-1.2.11-r4.apk2024-10-25 22:11 1.6M 
[   ]brial-1.2.11-r4.apk2024-10-25 22:11 1.1M 
[   ]boxes-doc-2.3.1-r0.apk2024-10-25 22:11 7.1K 
[   ]boxes-2.3.1-r0.apk2024-10-25 22:11 82K 
[   ]boxed-cpp-doc-1.4.3-r0.apk2024-10-25 22:11 5.4K 
[   ]boxed-cpp-dev-1.4.3-r0.apk2024-10-25 22:11 6.2K 
[   ]boxed-cpp-1.4.3-r0.apk2024-10-25 22:11 1.2K 
[   ]boson-0_git20211219-r0.apk2024-10-25 22:11 19K 
[   ]bore-0.5.0-r1.apk2024-10-25 22:11 537K 
[   ]bootterm-dbg-0.5-r0.apk2024-10-25 22:11 2.3K 
[   ]bootterm-0.5-r0.apk2024-10-25 22:11 20K 
[   ]bootloose-0.7.1-r6.apk2024-10-25 22:11 2.1M 
[   ]bootinfo-pyc-0.1.0-r4.apk2024-10-25 22:11 8.2K 
[   ]bootinfo-0.1.0-r4.apk2024-10-25 22:11 19K 
[   ]bonzomatic-20230615-r0.apk2024-10-25 22:11 649K 
[   ]bomctl-zsh-completion-0.1.9-r1.apk2024-10-25 22:11 4.1K 
[   ]bomctl-fish-completion-0.1.9-r1.apk2024-10-25 22:11 4.3K 
[   ]bomctl-bash-completion-0.1.9-r1.apk2024-10-25 22:11 5.1K 
[   ]bomctl-0.1.9-r1.apk2024-10-25 22:11 9.0M 
[   ]boinc-screensaver-7.24.3-r0.apk2024-10-25 22:11 141K 
[   ]boinc-libs-7.24.3-r0.apk2024-10-25 22:11 214K 
[   ]boinc-lang-7.24.3-r0.apk2024-10-25 22:11 877K 
[   ]boinc-gui-7.24.3-r0.apk2024-10-25 22:11 1.0M 
[   ]boinc-doc-7.24.3-r0.apk2024-10-25 22:11 8.0K 
[   ]boinc-dev-7.24.3-r0.apk2024-10-25 22:11 590K 
[   ]boinc-7.24.3-r0.apk2024-10-25 22:11 1.6M 
[   ]bochs-doc-2.8-r0.apk2024-10-25 22:11 139K 
[   ]bochs-2.8-r0.apk2024-10-25 22:11 945K 
[   ]bobcat-doc-4.09.00-r0.apk2024-10-25 22:11 345K 
[   ]bobcat-dev-4.09.00-r0.apk2024-10-25 22:11 651K 
[   ]bobcat-4.09.00-r0.apk2024-10-25 22:11 730K 
[   ]bliss-dev-0.77-r1.apk2024-10-25 22:11 113K 
[   ]bliss-0.77-r1.apk2024-10-25 22:11 75K 
[   ]blip-doc-0.10-r0.apk2024-10-25 22:11 30K 
[   ]blip-0.10-r0.apk2024-10-25 22:11 15K 
[   ]blackbox-1.20220610-r1.apk2024-10-25 22:11 16K 
[   ]bkt-doc-0.8.0-r0.apk2024-10-25 22:11 7.3K 
[   ]bkt-0.8.0-r0.apk2024-10-25 22:11 365K 
[   ]bitritter-0.1.1-r0.apk2024-10-25 22:11 2.1M 
[   ]bitlbee-mastodon-1.4.5-r0.apk2024-10-25 22:11 52K 
[   ]bitlbee-facebook-1.2.2-r0.apk2024-10-25 22:11 67K 
[   ]birdtray-1.9.0-r1.apk2024-10-25 22:11 421K 
[   ]biometryd-dev-0.3.1-r6.apk2024-11-12 20:15 13K 
[   ]biometryd-0.3.1-r6.apk2024-11-12 20:15 327K 
[   ]binwalk-pyc-2.4.2-r0.apk2024-10-25 22:11 168K 
[   ]binwalk-2.4.2-r0.apk2024-10-25 22:11 148K 
[   ]bindfs-doc-1.17.6-r0.apk2024-10-25 22:11 9.1K 
[   ]bindfs-1.17.6-r0.apk2024-10-25 22:11 22K 
[   ]bgs-doc-0.8-r1.apk2024-10-25 22:11 2.3K 
[   ]bgs-0.8-r1.apk2024-10-25 22:11 5.6K 
[   ]bgpq4-doc-1.15-r0.apk2024-10-25 22:11 6.3K 
[   ]bgpq4-1.15-r0.apk2024-10-25 22:11 32K 
[   ]betula-openrc-1.1.0-r5.apk2024-10-25 22:11 1.9K 
[   ]betula-1.1.0-r5.apk2024-10-25 22:11 3.6M 
[   ]bestline-doc-0.0_git20211108-r0.apk2024-10-25 22:11 18M 
[   ]bestline-dev-0.0_git20211108-r0.apk2024-10-25 22:10 1.7K 
[   ]bestline-0.0_git20211108-r0.apk2024-10-25 22:10 22K 
[   ]berry-lang-1.1.0-r0.apk2024-10-25 22:10 127K 
[   ]belr-dev-5.3.83-r0.apk2024-10-25 22:10 15K 
[   ]belr-5.3.83-r0.apk2024-10-25 22:10 117K 
[   ]belle-sip-dev-5.3.83-r0.apk2024-10-25 22:10 55K 
[   ]belle-sip-5.3.83-r0.apk2024-10-25 22:10 639K 
[   ]belcard-libs-5.3.83-r0.apk2024-10-25 22:10 222K 
[   ]belcard-dev-5.3.83-r0.apk2024-10-25 22:10 12K 
[   ]belcard-5.3.83-r0.apk2024-10-25 22:10 12K 
[   ]bees-openrc-0.10-r2.apk2024-10-25 22:10 1.9K 
[   ]bees-0.10-r2.apk2024-10-25 22:10 330K 
[   ]beard-doc-0.4-r0.apk2024-10-25 22:10 2.5K 
[   ]beard-0.4-r0.apk2024-10-25 22:10 3.1K 
[   ]beancount-language-server-1.3.6-r0.apk2024-11-06 00:38 1.3M 
[   ]bdfr-2.6.2-r1.apk2024-10-25 22:10 131K 
[   ]bchunk-doc-1.2.2-r3.apk2024-10-25 22:10 3.0K 
[   ]bchunk-1.2.2-r3.apk2024-10-25 22:10 7.2K 
[   ]bcg729-dev-1.1.1-r0.apk2024-10-25 22:10 3.5K 
[   ]bcg729-1.1.1-r0.apk2024-10-25 22:10 34K 
[   ]batmon-0.0.1-r0.apk2024-10-25 22:10 448K 
[   ]base64c-dev-0.2.1-r0.apk2024-10-25 22:10 5.5K 
[   ]base64c-0.2.1-r0.apk2024-10-25 22:10 4.4K 
[   ]bartib-1.0.1-r1.apk2024-10-25 22:10 365K 
[   ]barrier-doc-2.4.0-r1.apk2024-10-25 22:10 13K 
[   ]barrier-2.4.0-r1.apk2024-10-25 22:10 1.0M 
[   ]barnyard2-openrc-2.1.14_git20160413-r1.apk2024-10-25 22:10 2.8K 
[   ]barnyard2-2.1.14_git20160413-r1.apk2024-10-25 22:10 141K 
[   ]barman-pyc-3.11.1-r0.apk2024-10-25 22:10 536K 
[   ]barman-doc-3.11.1-r0.apk2024-10-25 22:10 50K 
[   ]barman-bash-completion-3.11.1-r0.apk2024-10-25 22:10 1.6K 
[   ]barman-3.11.1-r0.apk2024-10-25 22:10 336K 
[   ]bankstown-lv2-1.1.0-r0.apk2024-10-25 22:10 163K 
[   ]bananui-shell-0.2.0-r0.apk2024-10-25 22:10 103K 
[   ]bananui-dev-2.0.0-r0.apk2024-10-25 22:10 84K 
[   ]bananui-demos-2.0.0-r0.apk2024-10-25 22:10 8.9K 
[   ]bananui-dbg-2.0.0-r0.apk2024-10-25 22:10 156K 
[   ]bananui-daemons-0.1.0-r0.apk2024-10-25 22:10 46K 
[   ]bananui-clock-0.1.0-r0.apk2024-10-25 22:10 7.2K 
[   ]bananui-2.0.0-r0.apk2024-10-25 22:10 55K 
[   ]bakelite-0.4.2-r0.apk2024-10-25 22:10 44K 
[   ]bake-2.5.1-r0.apk2024-10-25 22:10 121K 
[   ]baikal-sqlite-0.10.1-r0.apk2024-11-10 18:10 1.4K 
[   ]baikal-pgsql-0.10.1-r0.apk2024-11-10 18:10 1.2K 
[   ]baikal-mysql-0.10.1-r0.apk2024-11-10 18:10 1.2K 
[   ]baikal-0.10.1-r0.apk2024-11-10 18:10 1.2M 
[   ]backup-manager-0.7.15-r1.apk2024-10-25 22:10 55K 
[   ]b2sum-doc-20190729-r2.apk2024-10-25 22:10 2.7K 
[   ]b2sum-20190729-r2.apk2024-10-25 22:10 16K 
[   ]azure-iot-sdk-c-static-1.11.0-r0.apk2024-10-25 22:10 756K 
[   ]azpainter-doc-3.0.9-r0.apk2024-10-25 22:10 42K 
[   ]azpainter-3.0.9-r0.apk2024-10-25 22:10 897K 
[   ]azote-pyc-1.12.7-r0.apk2024-10-25 22:10 98K 
[   ]azote-1.12.7-r0.apk2024-10-25 22:10 7.6M 
[   ]avra-dev-1.4.2-r0.apk2024-10-25 22:10 255K 
[   ]avra-1.4.2-r0.apk2024-10-25 22:10 39K 
[   ]avida-0_git20190813-r1.apk2024-10-25 22:10 2.0M 
[   ]avarice-doc-2.14-r4.apk2024-10-25 22:10 9.4K 
[   ]avarice-2.14-r4.apk2024-10-25 22:10 65K 
[   ]avara-0.7.1-r1.apk2024-11-04 09:53 21M 
[   ]avahi2dns-openrc-0.0.1_git20240102-r2.apk2024-10-25 22:10 1.8K 
[   ]avahi2dns-0.0.1_git20240102-r2.apk2024-10-25 22:10 2.2M 
[   ]autotrash-pyc-0.4.7-r0.apk2024-10-25 22:10 14K 
[   ]autotrash-0.4.7-r0.apk2024-10-25 22:10 23K 
[   ]autorestic-1.8.3-r0.apk2024-10-25 22:10 3.7M 
[   ]autoremove-torrents-pyc-1.5.5-r0.apk2024-10-25 22:10 54K 
[   ]autoremove-torrents-doc-1.5.5-r0.apk2024-10-25 22:10 12K 
[   ]autoremove-torrents-1.5.5-r0.apk2024-10-25 22:10 35K 
[   ]autorandr-udev-1.15-r0.apk2024-10-25 22:10 1.7K 
[   ]autorandr-launcher-1.15-r0.apk2024-10-25 22:10 5.6K 
[   ]autorandr-doc-1.15-r0.apk2024-10-25 22:10 3.8K 
[   ]autorandr-bash-completion-1.15-r0.apk2024-10-25 22:10 2.3K 
[   ]autorandr-1.15-r0.apk2024-10-25 22:10 21K 
[   ]autoconf-policy-0.1-r0.apk2024-10-25 22:10 5.5K 
[   ]authenticator-rs-lang-0.7.5-r0.apk2024-10-25 22:10 3.7K 
[   ]authenticator-rs-0.7.5-r0.apk2024-10-25 22:10 1.9M 
[   ]aufs-util-doc-20161219-r3.apk2024-10-25 22:10 34K 
[   ]aufs-util-dev-20161219-r3.apk2024-10-25 22:10 1.5K 
[   ]aufs-util-20161219-r3.apk2024-10-25 22:10 189K 
[   ]ats2-0.4.2-r0.apk2024-10-25 22:10 1.8M 
[   ]atool-doc-0.39.0-r4.apk2024-10-25 22:10 9.6K 
[   ]atool-bash-completion-0.39.0-r4.apk2024-10-25 22:10 2.0K 
[   ]atool-0.39.0-r4.apk2024-10-25 22:10 18K 
[   ]atomicparsley-20240608-r0.apk2024-10-25 22:10 112K 
[   ]atlantik-lang-3.5.10_git20240323-r0.apk2024-10-25 22:10 69K 
[   ]atlantik-doc-3.5.10_git20240323-r0.apk2024-10-25 22:10 79K 
[   ]atlantik-3.5.10_git20240323-r0.apk2024-10-25 22:10 396K 
[   ]asymptote-doc-2.91-r0.apk2024-10-25 22:10 2.8M 
[   ]asymptote-2.91-r0.apk2024-10-25 22:10 1.4M 
[   ]aspell-es-1.11-r0.apk2024-10-25 22:10 533K 
[   ]armagetronad-doc-0.2.9.1.1-r0.apk2024-10-25 22:10 92K 
[   ]armagetronad-0.2.9.1.1-r0.apk2024-10-25 22:10 1.6M 
[   ]arcticons-icon-theme-light-9.6.5.0-r0.apk2024-10-25 22:10 423K 
[   ]arcticons-icon-theme-dark-9.6.5.0-r0.apk2024-10-25 22:10 423K 
[   ]arcticons-icon-theme-9.6.5.0-r0.apk2024-10-25 22:10 1.4K 
[   ]arc-xfwm-20221218-r0.apk2024-10-25 22:10 7.7K 
[   ]arc-theme-20221218-r0.apk2024-10-25 22:10 1.4K 
[   ]arc-metacity-20221218-r0.apk2024-10-25 22:10 17K 
[   ]arc-lighter-xfwm-20221218-r0.apk2024-10-25 22:10 7.7K 
[   ]arc-lighter-metacity-20221218-r0.apk2024-10-25 22:10 17K 
[   ]arc-lighter-gtk4-20221218-r0.apk2024-10-25 22:10 113K 
[   ]arc-lighter-gtk3-20221218-r0.apk2024-10-25 22:10 125K 
[   ]arc-lighter-gtk2-20221218-r0.apk2024-10-25 22:10 38K 
[   ]arc-lighter-20221218-r0.apk2024-10-25 22:10 1.8K 
[   ]arc-icon-theme-20161122-r0.apk2024-10-25 22:10 4.4M 
[   ]arc-gtk4-20221218-r0.apk2024-10-25 22:10 114K 
[   ]arc-gtk3-20221218-r0.apk2024-10-25 22:10 126K 
[   ]arc-gtk2-20221218-r0.apk2024-10-25 22:10 38K 
[   ]arc-gnome-20221218-r0.apk2024-10-25 22:10 29K 
[   ]arc-darker-xfwm-20221218-r0.apk2024-10-25 22:10 7.9K 
[   ]arc-darker-metacity-20221218-r0.apk2024-10-25 22:10 17K 
[   ]arc-darker-gtk4-20221218-r0.apk2024-10-25 22:10 110K 
[   ]arc-darker-gtk3-20221218-r0.apk2024-10-25 22:10 124K 
[   ]arc-darker-gtk2-20221218-r0.apk2024-10-25 22:10 39K 
[   ]arc-darker-20221218-r0.apk2024-10-25 22:10 1.8K 
[   ]arc-dark-xfwm-20221218-r0.apk2024-10-25 22:10 7.9K 
[   ]arc-dark-metacity-20221218-r0.apk2024-10-25 22:10 17K 
[   ]arc-dark-gtk4-20221218-r0.apk2024-10-25 22:10 86K 
[   ]arc-dark-gtk3-20221218-r0.apk2024-10-25 22:10 93K 
[   ]arc-dark-gtk2-20221218-r0.apk2024-10-25 22:10 38K 
[   ]arc-dark-gnome-20221218-r0.apk2024-10-25 22:10 27K 
[   ]arc-dark-cinnamon-20221218-r0.apk2024-10-25 22:10 68K 
[   ]arc-dark-20221218-r0.apk2024-10-25 22:10 1.8K 
[   ]arc-cinnamon-20221218-r0.apk2024-10-25 22:10 68K 
[   ]arc-20221218-r0.apk2024-10-25 22:10 1.7K 
[   ]aravis-viewer-lang-0.8.31-r0.apk2024-10-25 22:10 16K 
[   ]aravis-viewer-0.8.31-r0.apk2024-10-25 22:10 70K 
[   ]aravis-libs-0.8.31-r0.apk2024-10-25 22:10 189K 
[   ]aravis-dev-0.8.31-r0.apk2024-10-25 22:10 34K 
[   ]aravis-0.8.31-r0.apk2024-10-25 22:10 46K 
[   ]aqemu-doc-0.9.4-r3.apk2024-10-25 22:10 7.5K 
[   ]aqemu-0.9.4-r3.apk2024-10-25 22:10 1.7M 
[   ]apulse-doc-0.1.13-r2.apk2024-10-25 22:10 2.8K 
[   ]apulse-0.1.13-r2.apk2024-10-25 22:10 43K 
[   ]aptdec-libs-1.8.0-r0.apk2024-10-25 22:10 15K 
[   ]aptdec-dev-1.8.0-r0.apk2024-10-25 22:10 3.5K 
[   ]aptdec-1.8.0-r0.apk2024-10-25 22:10 87K 
[   ]apt-mirror-doc-0.5.4-r0.apk2024-10-25 22:10 4.6K 
[   ]apt-mirror-0.5.4-r0.apk2024-10-25 22:10 9.4K 
[   ]apt-dater-lang-1.0.4-r4.apk2024-10-25 22:10 13K 
[   ]apt-dater-doc-1.0.4-r4.apk2024-10-25 22:10 9.9K 
[   ]apt-dater-1.0.4-r4.apk2024-10-25 22:10 58K 
[   ]aprilsh-server-0.7.12-r0.apk2024-10-25 22:10 2.4M 
[   ]aprilsh-openrc-0.7.12-r0.apk2024-10-25 22:10 1.8K 
[   ]aprilsh-doc-0.7.12-r0.apk2024-10-25 22:10 15K 
[   ]aprilsh-client-0.7.12-r0.apk2024-10-25 22:10 3.1M 
[   ]aprilsh-0.7.12-r0.apk2024-10-25 22:10 1.6K 
[   ]appcenter-lang-8.0.0-r0.apk2024-11-12 22:55 258K 
[   ]appcenter-8.0.0-r0.apk2024-11-12 22:55 411K 
[   ]aports-glmr-0.2-r23.apk2024-10-25 22:10 2.3M 
[   ]apollo-openrc-0.2.3-r0.apk2024-10-26 23:51 1.7K 
[   ]apollo-doc-0.2.3-r0.apk2024-10-26 23:51 19K 
[   ]apollo-0.2.3-r0.apk2024-10-26 23:51 4.1M 
[   ]apmpkg-zsh-completion-1.5.1-r3.apk2024-10-25 22:10 2.4K 
[   ]apmpkg-fish-completion-1.5.1-r3.apk2024-10-25 22:10 2.1K 
[   ]apmpkg-doc-1.5.1-r3.apk2024-10-25 22:10 3.1K 
[   ]apmpkg-bash-completion-1.5.1-r3.apk2024-10-25 22:10 2.2K 
[   ]apmpkg-1.5.1-r3.apk2024-10-25 22:10 1.6M 
[   ]apk-tools3-static-3.0.0_pre3_git20241029-r0.apk2024-10-30 06:03 2.0M 
[   ]apk-tools3-libs-3.0.0_pre3_git20241029-r0.apk2024-10-30 06:03 122K 
[   ]apk-tools3-doc-3.0.0_pre3_git20241029-r0.apk2024-10-30 06:03 38K 
[   ]apk-tools3-dev-3.0.0_pre3_git20241029-r0.apk2024-10-30 06:03 22K 
[   ]apk-tools3-dbg-3.0.0_pre3_git20241029-r0.apk2024-10-30 06:03 520K 
[   ]apk-tools3-3.0.0_pre3_git20241029-r0.apk2024-10-30 06:03 50K 
[   ]apk-snap-doc-3.1.1-r0.apk2024-10-25 22:10 20K 
[   ]apk-snap-3.1.1-r0.apk2024-10-25 22:10 6.6K 
[   ]apk-readme-0.1-r1.apk2024-10-25 22:10 1.3K 
[   ]apk-autoupdate-doc-0_git20210421-r1.apk2024-11-18 19:00 7.0K 
[   ]apk-autoupdate-0_git20210421-r1.apk2024-11-18 19:00 13K 
[   ]apache2-mod-realdoc-1-r1.apk2024-10-25 22:10 5.1K 
[   ]apache2-mod-perl-doc-2.0.13-r1.apk2024-10-25 22:10 303K 
[   ]apache2-mod-perl-dev-2.0.13-r1.apk2024-10-25 22:10 40K 
[   ]apache2-mod-perl-dbg-2.0.13-r1.apk2024-10-25 22:10 66K 
[   ]apache2-mod-perl-2.0.13-r1.apk2024-10-25 22:10 698K 
[   ]apache2-mod-authnz-external-doc-3.3.3-r0.apk2024-10-25 22:10 10K 
[   ]apache2-mod-authnz-external-3.3.3-r0.apk2024-10-25 22:10 8.3K 
[   ]apache-mod-auth-openidc-static-2.4.16.4-r0.apk2024-10-25 22:10 260K 
[   ]apache-mod-auth-openidc-doc-2.4.16.4-r0.apk2024-10-25 22:10 4.8K 
[   ]apache-mod-auth-openidc-2.4.16.4-r0.apk2024-10-25 22:10 206K 
[   ]apache-mod-auth-gssapi-1.6.5-r1.apk2024-10-25 22:10 58K 
[   ]antimicrox-doc-3.4.0-r0.apk2024-10-25 22:10 23K 
[   ]antimicrox-3.4.0-r0.apk2024-10-25 22:10 1.7M 
[   ]antibody-6.1.1-r23.apk2024-10-25 22:10 1.7M 
[   ]ansiweather-doc-1.19.0-r1.apk2024-10-25 22:10 3.0K 
[   ]ansiweather-1.19.0-r1.apk2024-10-25 22:10 4.7K 
[   ]ansible-bender-pyc-0.10.1-r2.apk2024-10-25 22:10 65K 
[   ]ansible-bender-doc-0.10.1-r2.apk2024-10-25 22:10 10K 
[   ]ansible-bender-0.10.1-r2.apk2024-10-25 22:10 36K 
[   ]angband-4.2.5-r0.apk2024-10-25 22:10 23M 
[   ]android-file-transfer-libs-4.3-r0.apk2024-10-25 22:10 137K 
[   ]android-file-transfer-dev-4.3-r0.apk2024-10-25 22:10 1.5K 
[   ]android-file-transfer-cli-4.3-r0.apk2024-10-25 22:10 119K 
[   ]android-file-transfer-4.3-r0.apk2024-10-25 22:10 195K 
[   ]android-apkeep-0.17.0-r0.apk2024-10-25 22:10 1.9M 
[   ]anari-sdk-static-0.7.2-r0.apk2024-10-25 22:10 185K 
[   ]anari-sdk-dev-0.7.2-r0.apk2024-10-25 22:10 59K 
[   ]anari-sdk-0.7.2-r0.apk2024-10-25 22:10 299K 
[   ]anarch-doc-1.0-r1.apk2024-10-25 22:10 18K 
[   ]anarch-1.0-r1.apk2024-10-25 22:10 97K 
[   ]ampy-pyc-1.1.0-r5.apk2024-10-25 22:10 20K 
[   ]ampy-doc-1.1.0-r5.apk2024-10-25 22:10 3.4K 
[   ]ampy-1.1.0-r5.apk2024-10-25 22:10 16K 
[   ]amiitool-2-r2.apk2024-10-25 22:10 8.0K 
[   ]amdgpu-fan-pyc-0.1.0-r5.apk2024-10-25 22:10 9.6K 
[   ]amdgpu-fan-0.1.0-r5.apk2024-10-25 22:10 14K 
[   ]amber-0.3.3-r0.apk2024-10-25 22:10 415K 
[   ]alttab-doc-1.7.1-r0.apk2024-10-25 22:10 10K 
[   ]alttab-1.7.1-r0.apk2024-10-25 22:10 37K 
[   ]alps-openrc-0_git20230807-r7.apk2024-11-04 21:02 2.0K 
[   ]alps-0_git20230807-r7.apk2024-11-04 21:02 5.5M 
[   ]alpine-lift-0.2.0-r18.apk2024-10-25 22:10 3.4M 
[   ]alarmwakeup-utils-0.2.1-r0.apk2024-10-25 22:10 4.2K 
[   ]alarmwakeup-libs-0.2.1-r0.apk2024-10-25 22:10 4.6K 
[   ]alarmwakeup-dev-0.2.1-r0.apk2024-10-25 22:10 2.6K 
[   ]alarmwakeup-dbg-0.2.1-r0.apk2024-10-25 22:10 17K 
[   ]alarmwakeup-0.2.1-r0.apk2024-10-25 22:10 7.7K 
[   ]aide-doc-0.18.8-r0.apk2024-10-25 22:10 14K 
[   ]aide-0.18.8-r0.apk2024-10-25 22:10 77K 
[   ]agrep-doc-0.8.0-r2.apk2024-10-25 22:10 4.1K 
[   ]agrep-0.8.0-r2.apk2024-10-25 22:10 8.1K 
[   ]agate-openrc-3.3.8-r0.apk2024-10-25 22:10 2.0K 
[   ]agate-3.3.8-r0.apk2024-10-25 22:10 734K 
[   ]afetch-doc-2.2.0-r1.apk2024-10-25 22:10 14K 
[   ]afetch-2.2.0-r1.apk2024-10-25 22:10 9.3K 
[   ]aero2solver-openrc-1.1.0-r0.apk2024-10-25 22:10 2.1K 
[   ]aero2solver-doc-1.1.0-r0.apk2024-10-25 22:10 2.2K 
[   ]aero2solver-1.1.0-r0.apk2024-10-25 22:10 22M 
[   ]advancescan-doc-1.18-r1.apk2024-10-25 22:10 7.3K 
[   ]advancescan-1.18-r1.apk2024-10-25 22:10 284K 
[   ]advancemame-mess-3.9-r4.apk2024-10-25 22:10 3.7M 
[   ]advancemame-menu-3.9-r4.apk2024-10-25 22:10 953K 
[   ]advancemame-doc-3.9-r4.apk2024-10-25 22:10 374K 
[   ]advancemame-data-3.9-r4.apk2024-10-25 22:10 5.8M 
[   ]advancemame-3.9-r4.apk2024-10-25 22:10 12M 
[   ]admesh-doc-0.98.5-r0.apk2024-10-25 22:10 23K 
[   ]admesh-dev-0.98.5-r0.apk2024-10-25 22:10 4.0K 
[   ]admesh-0.98.5-r0.apk2024-10-25 22:10 27K 
[   ]adjtimex-doc-1.29-r0.apk2024-10-25 22:10 7.1K 
[   ]adjtimex-1.29-r0.apk2024-10-25 22:10 21K 
[   ]adguardhome-openrc-0.107.54-r0.apk2024-11-08 01:20 2.1K 
[   ]adguardhome-0.107.54-r0.apk2024-11-08 01:20 11M 
[   ]acmetool-doc-0.2.2-r8.apk2024-10-25 22:10 47K 
[   ]acmetool-0.2.2-r8.apk2024-10-25 22:10 4.3M 
[   ]ace-of-penguins-doc-1.4-r3.apk2024-10-25 22:10 49K 
[   ]ace-of-penguins-1.4-r3.apk2024-10-25 22:10 156K 
[   ]abc-0_git20240102-r0.apk2024-10-25 22:10 4.9M 
[   ]a2jmidid-doc-9-r3.apk2024-10-25 22:10 4.2K 
[   ]a2jmidid-9-r3.apk2024-10-25 22:10 31K 
[   ]APKINDEX.tar.gz2024-11-21 23:55 884K 
[   ]9base-troff-6-r2.apk2024-10-25 22:10 487K 
[   ]9base-doc-6-r2.apk2024-10-25 22:10 64K 
[   ]9base-6-r2.apk2024-10-25 22:10 1.9M 
[   ]3proxy-openrc-0.9.4-r1.apk2024-10-25 22:10 1.7K 
[   ]3proxy-doc-0.9.4-r1.apk2024-10-25 22:10 25K 
[   ]3proxy-0.9.4-r1.apk2024-10-25 22:10 374K 

Apache/2.4.62 (Fedora Linux) Server at mirror1.hs-esslingen.de Port 443