Index of /Mirrors/alpine/edge/testing/riscv64

[ICO]NameLast modifiedSizeDescription

[PARENTDIR]Parent Directory  -  
[   ]3proxy-0.9.4-r1.apk2024-09-29 02:55 348K 
[   ]3proxy-doc-0.9.4-r1.apk2024-09-29 02:55 25K 
[   ]3proxy-openrc-0.9.4-r1.apk2024-09-29 02:55 1.4K 
[   ]9base-6-r2.apk2024-10-02 09:08 2.2M 
[   ]9base-doc-6-r2.apk2024-10-02 09:08 63K 
[   ]9base-troff-6-r2.apk2024-10-02 09:08 501K 
[   ]66-0.8.0.1-r0.apk2025-01-12 20:35 271K 
[   ]66-dev-0.8.0.1-r0.apk2025-01-12 20:35 672K 
[   ]66-doc-0.8.0.1-r0.apk2025-01-12 20:35 167K 
[   ]66-init-0.8.0.1-r0.apk2025-01-12 20:35 1.2K 
[   ]66-tools-0.1.1.0-r0.apk2025-01-12 20:35 57K 
[   ]66-tools-dev-0.1.1.0-r0.apk2025-01-12 20:35 1.5K 
[   ]66-tools-doc-0.1.1.0-r0.apk2025-01-12 20:35 39K 
[   ]66-tools-nsrules-0.1.1.0-r0.apk2025-01-12 20:35 2.5K 
[   ]APKINDEX.tar.gz2025-02-09 17:53 598K 
[   ]a2jmidid-9-r3.apk2022-10-07 08:48 25K 
[   ]a2jmidid-doc-9-r3.apk2022-10-07 08:48 3.9K 
[   ]ab-tidy-0.1.0-r1.apk2024-11-29 13:38 92K 
[   ]abc-0_git20240102-r0.apk2024-01-19 01:24 5.1M 
[   ]abi-dumper-1.4-r1.apk2025-01-20 06:38 36K 
[   ]abuild-muon-0.3.1-r0.apk2025-02-04 15:26 1.6K 
[   ]ace-of-penguins-1.4-r3.apk2024-09-15 06:41 160K 
[   ]ace-of-penguins-doc-1.4-r3.apk2024-09-15 06:41 48K 
[   ]acmetool-0.2.2-r9.apk2025-01-20 06:38 4.0M 
[   ]acmetool-doc-0.2.2-r9.apk2025-01-20 06:38 46K 
[   ]adguardhome-0.107.56-r0.apk2025-01-23 19:42 10M 
[   ]adguardhome-openrc-0.107.56-r0.apk2025-01-23 19:42 1.8K 
[   ]adjtimex-1.29-r0.apk2021-12-31 22:27 17K 
[   ]adjtimex-doc-1.29-r0.apk2021-12-31 22:27 7.1K 
[   ]admesh-0.98.5-r0.apk2022-12-05 11:15 24K 
[   ]admesh-dev-0.98.5-r0.apk2022-12-05 11:15 3.8K 
[   ]admesh-doc-0.98.5-r0.apk2022-12-05 11:15 23K 
[   ]advancemame-3.9-r4.apk2023-03-15 23:18 12M 
[   ]advancemame-data-3.9-r4.apk2023-03-15 23:18 5.8M 
[   ]advancemame-doc-3.9-r4.apk2023-03-15 23:18 373K 
[   ]advancemame-menu-3.9-r4.apk2023-03-15 23:18 742K 
[   ]advancemame-mess-3.9-r4.apk2023-03-15 23:18 3.3M 
[   ]advancescan-1.18-r1.apk2022-10-25 15:04 256K 
[   ]advancescan-doc-1.18-r1.apk2022-10-25 15:04 7.0K 
[   ]afetch-2.2.0-r1.apk2022-10-25 15:04 7.7K 
[   ]afetch-doc-2.2.0-r1.apk2022-10-25 15:04 13K 
[   ]agate-3.3.8-r0.apk2024-09-30 17:59 716K 
[   ]agate-openrc-3.3.8-r0.apk2024-09-30 17:59 1.7K 
[   ]agrep-0.8.0-r2.apk2023-05-21 14:09 7.7K 
[   ]agrep-doc-0.8.0-r2.apk2023-05-21 14:09 3.9K 
[   ]aide-0.18.8-r0.apk2024-05-10 17:21 75K 
[   ]aide-doc-0.18.8-r0.apk2024-05-10 17:21 14K 
[   ]alarmwakeup-0.2.1-r0.apk2023-10-06 07:59 6.0K 
[   ]alarmwakeup-dbg-0.2.1-r0.apk2023-10-06 07:59 17K 
[   ]alarmwakeup-dev-0.2.1-r0.apk2023-10-06 07:59 2.3K 
[   ]alarmwakeup-libs-0.2.1-r0.apk2023-10-06 07:59 4.0K 
[   ]alarmwakeup-utils-0.2.1-r0.apk2023-10-06 07:59 3.7K 
[   ]alpine-lift-0.2.0-r19.apk2025-01-20 06:38 3.2M 
[   ]alps-0_git20230807-r8.apk2025-01-20 06:38 5.1M 
[   ]alps-openrc-0_git20230807-r8.apk2025-01-20 06:38 1.7K 
[   ]alttab-1.7.1-r0.apk2023-06-10 00:28 38K 
[   ]alttab-doc-1.7.1-r0.apk2023-06-10 00:28 10K 
[   ]amber-0.3.3-r0.apk2024-06-30 00:36 402K 
[   ]amber-mpris-1.2.9-r0.apk2024-12-22 18:11 204K 
[   ]amber-mpris-dev-1.2.9-r0.apk2024-12-22 18:11 6.5K 
[   ]amdgpu-fan-0.1.0-r5.apk2024-08-07 03:50 14K 
[   ]amdgpu-fan-pyc-0.1.0-r5.apk2024-08-07 03:50 9.4K 
[   ]amiitool-2-r2.apk2024-04-30 03:05 7.0K 
[   ]ampy-1.1.0-r5.apk2024-04-30 03:05 16K 
[   ]ampy-doc-1.1.0-r5.apk2024-04-30 03:05 3.2K 
[   ]ampy-pyc-1.1.0-r5.apk2024-04-30 03:05 19K 
[   ]amule-2.3.3-r13.apk2024-04-30 03:05 4.1M 
[   ]amule-doc-2.3.3-r13.apk2024-04-30 03:05 281K 
[   ]amule-lang-2.3.3-r13.apk2024-04-30 03:05 1.6M 
[   ]anarch-1.0-r1.apk2022-10-07 08:49 94K 
[   ]anarch-doc-1.0-r1.apk2022-10-07 08:49 18K 
[   ]anari-sdk-0.7.2-r0.apk2023-12-14 17:54 187K 
[   ]anari-sdk-dev-0.7.2-r0.apk2023-12-14 17:54 56K 
[   ]anari-sdk-static-0.7.2-r0.apk2023-12-14 17:54 95K 
[   ]android-apkeep-0.17.0-r0.apk2024-10-12 08:29 1.8M 
[   ]android-file-transfer-4.3-r1.apk2025-01-26 22:26 190K 
[   ]android-file-transfer-cli-4.3-r1.apk2025-01-26 22:26 110K 
[   ]android-file-transfer-dev-4.3-r1.apk2025-01-26 22:26 1.3K 
[   ]android-file-transfer-libs-4.3-r1.apk2025-01-26 22:26 128K 
[   ]angband-4.2.5-r0.apk2024-01-27 23:43 23M 
[   ]ansible-bender-0.10.1-r2.apk2024-04-30 03:05 36K 
[   ]ansible-bender-doc-0.10.1-r2.apk2024-04-30 03:05 9.8K 
[   ]ansible-bender-pyc-0.10.1-r2.apk2024-04-30 03:05 65K 
[   ]ansiweather-1.19.0-r1.apk2023-07-30 14:12 4.5K 
[   ]ansiweather-doc-1.19.0-r1.apk2023-07-30 14:12 2.8K 
[   ]antibody-6.1.1-r24.apk2025-01-20 06:38 1.7M 
[   ]antimicrox-3.4.0-r0.apk2024-06-08 23:19 1.7M 
[   ]antimicrox-doc-3.4.0-r0.apk2024-06-08 23:19 23K 
[   ]aoetools-37-r2.apk2025-01-15 05:10 21K 
[   ]aoetools-doc-37-r2.apk2025-01-15 05:10 13K 
[   ]apache-mod-auth-gssapi-1.6.5-r1.apk2023-05-21 14:09 59K 
[   ]apache-mod-auth-openidc-2.4.16.4-r0.apk2024-10-01 16:58 214K 
[   ]apache-mod-auth-openidc-doc-2.4.16.4-r0.apk2024-10-01 16:58 4.6K 
[   ]apache-mod-auth-openidc-static-2.4.16.4-r0.apk2024-10-01 16:58 521K 
[   ]apache2-mod-authnz-external-3.3.3-r0.apk2021-07-27 10:10 6.9K 
[   ]apache2-mod-authnz-external-doc-3.3.3-r0.apk2021-07-27 10:10 10K 
[   ]apache2-mod-perl-2.0.13-r1.apk2024-06-10 13:55 661K 
[   ]apache2-mod-perl-dbg-2.0.13-r1.apk2024-06-10 13:55 64K 
[   ]apache2-mod-perl-dev-2.0.13-r1.apk2024-06-10 13:55 40K 
[   ]apache2-mod-perl-doc-2.0.13-r1.apk2024-06-10 13:55 302K 
[   ]apache2-mod-realdoc-1-r1.apk2021-07-27 10:10 4.1K 
[   ]apk-autoupdate-0_git20210421-r1.apk2024-11-17 13:51 13K 
[   ]apk-autoupdate-doc-0_git20210421-r1.apk2024-11-17 13:51 6.7K 
[   ]apk-readme-0.1-r1.apk2021-07-27 10:10 1.3K 
[   ]apk-snap-3.1.1-r0.apk2024-01-22 16:55 6.4K 
[   ]apk-snap-doc-3.1.1-r0.apk2024-01-22 16:55 20K 
[   ]apk-tools3-3.0.0_pre3_git20241029-r0.apk2024-10-30 06:04 51K 
[   ]apk-tools3-dbg-3.0.0_pre3_git20241029-r0.apk2024-10-30 06:04 541K 
[   ]apk-tools3-dev-3.0.0_pre3_git20241029-r0.apk2024-10-30 06:04 22K 
[   ]apk-tools3-doc-3.0.0_pre3_git20241029-r0.apk2024-10-30 06:04 37K 
[   ]apk-tools3-libs-3.0.0_pre3_git20241029-r0.apk2024-10-30 06:04 120K 
[   ]apk-tools3-static-3.0.0_pre3_git20241029-r0.apk2024-10-30 06:04 1.9M 
[   ]aports-glmr-0.2-r24.apk2025-01-20 06:38 2.3M 
[   ]appcenter-8.0.0-r0.apk2024-11-12 23:47 397K 
[   ]appcenter-lang-8.0.0-r0.apk2024-11-12 23:47 258K 
[   ]aprilsh-0.7.12-r1.apk2025-01-20 06:38 1.3K 
[   ]aprilsh-client-0.7.12-r1.apk2025-01-20 06:38 2.9M 
[   ]aprilsh-doc-0.7.12-r1.apk2025-01-20 06:38 14K 
[   ]aprilsh-openrc-0.7.12-r1.apk2025-01-20 06:38 1.5K 
[   ]aprilsh-server-0.7.12-r1.apk2025-01-20 06:38 2.3M 
[   ]apt-dater-1.0.4-r4.apk2024-10-01 07:21 57K 
[   ]apt-dater-doc-1.0.4-r4.apk2024-10-01 07:21 9.6K 
[   ]apt-dater-lang-1.0.4-r4.apk2024-10-01 07:21 13K 
[   ]apt-mirror-0.5.4-r0.apk2023-01-05 23:23 9.2K 
[   ]apt-mirror-doc-0.5.4-r0.apk2023-01-05 23:23 4.4K 
[   ]aptdec-1.8.0-r1.apk2025-02-09 00:43 85K 
[   ]aptdec-dev-1.8.0-r1.apk2025-02-09 00:43 3.2K 
[   ]aptdec-libs-1.8.0-r1.apk2025-02-09 00:43 15K 
[   ]apulse-0.1.13-r2.apk2024-05-26 14:29 41K 
[   ]apulse-doc-0.1.13-r2.apk2024-05-26 14:29 2.5K 
[   ]aqemu-0.9.4-r3.apk2023-04-08 12:54 1.6M 
[   ]aqemu-doc-0.9.4-r3.apk2023-04-08 12:54 7.3K 
[   ]arc-20221218-r0.apk2023-01-08 01:41 1.5K 
[   ]arc-cinnamon-20221218-r0.apk2023-01-08 01:41 68K 
[   ]arc-dark-20221218-r0.apk2023-01-08 01:41 1.5K 
[   ]arc-dark-cinnamon-20221218-r0.apk2023-01-08 01:41 68K 
[   ]arc-dark-gnome-20221218-r0.apk2023-01-08 01:41 27K 
[   ]arc-dark-gtk2-20221218-r0.apk2023-01-08 01:41 38K 
[   ]arc-dark-gtk3-20221218-r0.apk2023-01-08 01:41 93K 
[   ]arc-dark-gtk4-20221218-r0.apk2023-01-08 01:41 86K 
[   ]arc-dark-metacity-20221218-r0.apk2023-01-08 01:41 17K 
[   ]arc-dark-xfwm-20221218-r0.apk2023-01-08 01:41 7.6K 
[   ]arc-darker-20221218-r0.apk2023-01-08 01:41 1.5K 
[   ]arc-darker-gtk2-20221218-r0.apk2023-01-08 01:41 38K 
[   ]arc-darker-gtk3-20221218-r0.apk2023-01-08 01:41 123K 
[   ]arc-darker-gtk4-20221218-r0.apk2023-01-08 01:41 110K 
[   ]arc-darker-metacity-20221218-r0.apk2023-01-08 01:41 17K 
[   ]arc-darker-xfwm-20221218-r0.apk2023-01-08 01:41 7.6K 
[   ]arc-gnome-20221218-r0.apk2023-01-08 01:41 28K 
[   ]arc-gtk2-20221218-r0.apk2023-01-08 01:41 37K 
[   ]arc-gtk3-20221218-r0.apk2023-01-08 01:41 126K 
[   ]arc-gtk4-20221218-r0.apk2023-01-08 01:41 113K 
[   ]arc-icon-theme-20161122-r0.apk2021-07-27 10:10 4.4M 
[   ]arc-lighter-20221218-r0.apk2023-01-08 01:41 1.5K 
[   ]arc-lighter-gtk2-20221218-r0.apk2023-01-08 01:41 37K 
[   ]arc-lighter-gtk3-20221218-r0.apk2023-01-08 01:41 124K 
[   ]arc-lighter-gtk4-20221218-r0.apk2023-01-08 01:41 113K 
[   ]arc-lighter-metacity-20221218-r0.apk2023-01-08 01:41 17K 
[   ]arc-lighter-xfwm-20221218-r0.apk2023-01-08 01:41 7.5K 
[   ]arc-metacity-20221218-r0.apk2023-01-08 01:41 17K 
[   ]arc-theme-20221218-r0.apk2023-01-08 01:41 1.2K 
[   ]arc-xfwm-20221218-r0.apk2023-01-08 01:41 7.4K 
[   ]argocd-2.13.3-r0.apk2025-01-21 21:48 37M 
[   ]argocd-bash-completion-2.13.3-r0.apk2025-01-21 21:48 20K 
[   ]argocd-doc-2.13.3-r0.apk2025-01-21 21:48 5.2K 
[   ]argocd-zsh-completion-2.13.3-r0.apk2025-01-21 21:48 3.7K 
[   ]arj-0_git20220125-r1.apk2022-10-14 21:59 142K 
[   ]arj-doc-0_git20220125-r1.apk2022-10-14 21:59 10K 
[   ]armagetronad-0.2.9.1.1-r0.apk2024-03-03 08:17 1.6M 
[   ]armagetronad-doc-0.2.9.1.1-r0.apk2024-03-03 08:17 92K 
[   ]aspell-es-1.11-r0.apk2022-05-22 17:02 533K 
[   ]atac-0.18.1-r0.apk2024-11-25 23:11 4.7M 
[   ]atlantik-3.5.10_git20240323-r0.apk2024-03-25 13:20 381K 
[   ]atlantik-doc-3.5.10_git20240323-r0.apk2024-03-25 13:20 79K 
[   ]atlantik-lang-3.5.10_git20240323-r0.apk2024-03-25 13:20 68K 
[   ]atomicparsley-20240608-r0.apk2024-06-11 16:32 116K 
[   ]atool-0.39.0-r4.apk2022-10-25 15:04 17K 
[   ]atool-bash-completion-0.39.0-r4.apk2022-10-25 15:04 1.8K 
[   ]atool-doc-0.39.0-r4.apk2022-10-25 15:04 9.3K 
[   ]atools-go-0.3.0-r0.apk2025-02-09 17:53 1.0M 
[   ]atools-go-doc-0.3.0-r0.apk2025-02-09 17:53 2.0K 
[   ]ats2-0.4.2-r0.apk2024-09-29 08:13 1.8M 
[   ]aufs-util-20161219-r3.apk2024-10-15 15:05 225K 
[   ]aufs-util-dev-20161219-r3.apk2024-10-15 15:05 1.2K 
[   ]aufs-util-doc-20161219-r3.apk2024-10-15 15:05 34K 
[   ]autoconf-policy-0.1-r0.apk2021-07-27 10:10 5.5K 
[   ]autoremove-torrents-1.5.5-r0.apk2024-09-23 01:16 35K 
[   ]autoremove-torrents-doc-1.5.5-r0.apk2024-09-23 01:16 11K 
[   ]autoremove-torrents-pyc-1.5.5-r0.apk2024-09-23 01:16 54K 
[   ]autorestic-1.8.3-r1.apk2025-01-20 06:38 3.3M 
[   ]autotrash-0.4.7-r0.apk2024-10-15 23:24 23K 
[   ]autotrash-pyc-0.4.7-r0.apk2024-10-15 23:24 14K 
[   ]avahi2dns-0.0.1_git20240102-r3.apk2025-01-20 06:38 2.1M 
[   ]avahi2dns-openrc-0.0.1_git20240102-r3.apk2025-01-20 06:38 1.5K 
[   ]avara-0.7.1-r1.apk2024-11-04 09:53 21M 
[   ]avarice-2.14-r4.apk2024-09-22 21:58 98K 
[   ]avarice-doc-2.14-r4.apk2024-09-22 21:58 9.2K 
[   ]avra-1.4.2-r0.apk2023-08-21 07:36 40K 
[   ]avra-dev-1.4.2-r0.apk2023-08-21 07:36 254K 
[   ]aws-lc-1.45.0-r0.apk2025-02-06 06:25 968K 
[   ]aws-lc-dev-1.45.0-r0.apk2025-02-06 06:25 410K 
[   ]aws-lc-tools-1.45.0-r0.apk2025-02-06 06:25 119K 
[   ]azote-1.14.0-r0.apk2024-12-15 02:12 7.6M 
[   ]azote-pyc-1.14.0-r0.apk2024-12-15 02:12 98K 
[   ]azpainter-3.0.9-r0.apk2024-08-07 23:43 805K 
[   ]azpainter-doc-3.0.9-r0.apk2024-08-07 23:43 42K 
[   ]azure-iot-sdk-c-static-1.11.0-r0.apk2023-12-14 17:54 1.2M 
[   ]b2-tools-4.2.0-r0.apk2025-01-12 20:35 72K 
[   ]b2-tools-pyc-4.2.0-r0.apk2025-01-12 20:35 135K 
[   ]b2sum-20190729-r2.apk2022-10-25 15:04 16K 
[   ]b2sum-doc-20190729-r2.apk2022-10-25 15:04 2.5K 
[   ]backup-manager-0.7.15-r1.apk2022-10-25 15:04 55K 
[   ]baikal-0.10.1-r0.apk2024-11-10 23:32 1.2M 
[   ]baikal-mysql-0.10.1-r0.apk2024-11-10 23:32 1.0K 
[   ]baikal-pgsql-0.10.1-r0.apk2024-11-10 23:32 1.0K 
[   ]baikal-sqlite-0.10.1-r0.apk2024-11-10 23:32 1.1K 
[   ]bananui-2.0.0-r0.apk2023-10-06 07:59 56K 
[   ]bananui-clock-0.1.0-r0.apk2023-10-06 07:59 6.7K 
[   ]bananui-daemons-0.1.0-r0.apk2023-10-06 07:59 45K 
[   ]bananui-dbg-2.0.0-r0.apk2023-10-06 07:59 167K 
[   ]bananui-demos-2.0.0-r0.apk2023-10-06 07:59 8.7K 
[   ]bananui-dev-2.0.0-r0.apk2023-10-06 07:59 176K 
[   ]bananui-shell-0.2.0-r0.apk2024-03-03 08:17 106K 
[   ]barman-3.12.1-r0.apk2025-01-01 15:19 341K 
[   ]barman-bash-completion-3.12.1-r0.apk2025-01-01 15:19 1.3K 
[   ]barman-doc-3.12.1-r0.apk2025-01-01 15:19 77K 
[   ]barman-pyc-3.12.1-r0.apk2025-01-01 15:19 543K 
[   ]barnyard2-2.1.14_git20160413-r1.apk2022-10-25 15:04 116K 
[   ]barnyard2-openrc-2.1.14_git20160413-r1.apk2022-10-25 15:04 2.5K 
[   ]barrier-2.4.0-r1.apk2022-10-07 08:49 1.0M 
[   ]barrier-doc-2.4.0-r1.apk2022-10-07 08:49 13K 
[   ]base64c-0.2.1-r0.apk2023-12-14 17:54 4.0K 
[   ]base64c-dev-0.2.1-r0.apk2023-12-14 17:54 5.9K 
[   ]batmon-0.0.1-r0.apk2024-06-18 00:01 425K 
[   ]bcg729-1.1.1-r0.apk2021-07-27 10:10 34K 
[   ]bcg729-dev-1.1.1-r0.apk2021-07-27 10:10 3.5K 
[   ]bchunk-1.2.2-r3.apk2024-10-13 06:40 6.8K 
[   ]bchunk-doc-1.2.2-r3.apk2024-10-13 06:40 2.7K 
[   ]bdfr-2.6.2-r1.apk2024-04-30 03:05 131K 
[   ]beancount-language-server-1.3.6-r0.apk2024-11-06 02:10 1.2M 
[   ]beard-0.4-r0.apk2022-10-07 08:49 2.9K 
[   ]beard-doc-0.4-r0.apk2022-10-07 08:49 2.2K 
[   ]bees-0.10-r2.apk2024-09-29 17:31 294K 
[   ]bees-openrc-0.10-r2.apk2024-09-29 17:31 1.7K 
[   ]belcard-5.3.83-r0.apk2024-09-29 17:31 11K 
[   ]belcard-dev-5.3.83-r0.apk2024-09-29 17:31 12K 
[   ]belcard-libs-5.3.83-r0.apk2024-09-29 17:31 214K 
[   ]belr-5.3.83-r0.apk2024-09-29 17:31 112K 
[   ]belr-dev-5.3.83-r0.apk2024-09-29 17:31 15K 
[   ]berry-lang-1.1.0-r0.apk2022-10-07 08:49 93K 
[   ]bestline-0.0_git20211108-r0.apk2022-02-13 15:17 19K 
[   ]bestline-dev-0.0_git20211108-r0.apk2022-02-13 15:17 1.7K 
[   ]bestline-doc-0.0_git20211108-r0.apk2022-02-13 15:17 18M 
[   ]bgpq4-1.15-r0.apk2024-10-13 13:50 33K 
[   ]bgpq4-doc-1.15-r0.apk2024-10-13 13:50 6.1K 
[   ]bgs-0.8-r1.apk2022-10-25 15:04 4.7K 
[   ]bgs-doc-0.8-r1.apk2022-10-25 15:04 2.0K 
[   ]bindfs-1.17.7-r0.apk2025-01-03 18:12 21K 
[   ]bindfs-doc-1.17.7-r0.apk2025-01-03 18:12 8.7K 
[   ]binwalk-3.1.0-r0.apk2025-02-07 18:17 1.0M 
[   ]biometryd-0.3.1-r6.apk2024-11-12 21:02 305K 
[   ]biometryd-dev-0.3.1-r6.apk2024-11-12 21:02 13K 
[   ]bird3-3.0.0-r0.apk2024-12-20 18:12 570K 
[   ]bird3-dbg-3.0.0-r0.apk2024-12-20 18:12 2.1M 
[   ]bird3-openrc-3.0.0-r0.apk2024-12-20 18:12 2.0K 
[   ]birdtray-1.9.0-r1.apk2022-10-25 15:04 408K 
[   ]bitlbee-facebook-1.2.2-r0.apk2022-10-07 08:49 49K 
[   ]bitlbee-mastodon-1.4.5-r0.apk2022-07-05 18:03 41K 
[   ]bitritter-0.1.1-r0.apk2024-05-30 07:03 2.1M 
[   ]bkt-0.8.0-r0.apk2024-07-10 05:12 348K 
[   ]bkt-doc-0.8.0-r0.apk2024-07-10 05:12 7.0K 
[   ]blackbox-1.20220610-r1.apk2024-09-29 17:31 15K 
[   ]blip-0.10-r0.apk2021-07-27 10:10 15K 
[   ]blip-doc-0.10-r0.apk2021-07-27 10:10 30K 
[   ]bliss-0.77-r1.apk2023-08-19 21:23 67K 
[   ]bliss-dev-0.77-r1.apk2023-08-19 21:23 184K 
[   ]boa-cli-0.20-r0.apk2024-12-05 22:57 7.2M 
[   ]bobcat-4.09.00-r0.apk2023-10-06 12:00 686K 
[   ]bobcat-dev-4.09.00-r0.apk2023-10-06 12:00 1.1M 
[   ]bobcat-doc-4.09.00-r0.apk2023-10-06 12:00 345K 
[   ]bochs-2.8-r0.apk2024-10-06 22:01 945K 
[   ]bochs-doc-2.8-r0.apk2024-10-06 22:01 139K 
[   ]bomctl-0.1.9-r2.apk2025-01-20 06:38 8.7M 
[   ]bomctl-bash-completion-0.1.9-r2.apk2025-01-20 06:38 4.8K 
[   ]bomctl-fish-completion-0.1.9-r2.apk2025-01-20 06:38 4.0K 
[   ]bomctl-zsh-completion-0.1.9-r2.apk2025-01-20 06:38 3.7K 
[   ]bonzomatic-20230615-r0.apk2023-09-28 07:17 650K 
[   ]bootchart2-0.14.9-r0.apk2025-01-03 18:12 136K 
[   ]bootinfo-0.1.0-r4.apk2024-08-07 03:50 19K 
[   ]bootinfo-pyc-0.1.0-r4.apk2024-08-07 03:50 8.0K 
[   ]bootloose-0.7.1-r7.apk2025-01-20 06:38 2.0M 
[   ]bootterm-0.5-r0.apk2024-05-09 20:31 19K 
[   ]bootterm-dbg-0.5-r0.apk2024-05-09 20:31 2.1K 
[   ]boson-0_git20211219-r0.apk2022-07-10 20:36 16K 
[   ]boxed-cpp-1.4.3-r0.apk2024-10-19 20:28 951  
[   ]boxed-cpp-dev-1.4.3-r0.apk2024-10-19 20:28 6.0K 
[   ]boxed-cpp-doc-1.4.3-r0.apk2024-10-19 20:28 5.2K 
[   ]boxes-2.3.1-r0.apk2024-10-06 00:45 78K 
[   ]boxes-doc-2.3.1-r0.apk2024-10-06 00:45 6.9K 
[   ]brial-1.2.11-r4.apk2024-10-13 23:46 1.0M 
[   ]brial-dev-1.2.11-r4.apk2024-10-13 23:46 2.3M 
[   ]brltty-6.7-r0.apk2024-10-18 15:44 2.2M 
[   ]brltty-dev-6.7-r0.apk2024-10-18 15:44 140K 
[   ]brltty-doc-6.7-r0.apk2024-10-18 15:44 9.1K 
[   ]brltty-lang-6.7-r0.apk2024-10-18 15:44 148K 
[   ]brltty-static-6.7-r0.apk2024-10-18 15:44 41K 
[   ]btcd-0.24.0-r4.apk2025-01-20 06:38 14M 
[   ]btfs-2.24-r12.apk2024-04-30 03:05 30K 
[   ]btfs-doc-2.24-r12.apk2024-04-30 03:05 2.1K 
[   ]btpd-0.16-r2.apk2022-10-07 08:49 64K 
[   ]btpd-doc-0.16-r2.apk2022-10-07 08:49 8.1K 
[   ]buf-1.50.0-r0.apk2025-02-06 00:09 13M 
[   ]buf-bash-completion-1.50.0-r0.apk2025-02-06 00:09 8.2K 
[   ]buf-fish-completion-1.50.0-r0.apk2025-02-06 00:09 4.0K 
[   ]buf-protoc-plugins-1.50.0-r0.apk2025-02-06 00:09 12M 
[   ]buf-zsh-completion-1.50.0-r0.apk2025-02-06 00:09 3.7K 
[   ]buildcache-0.28.9-r0.apk2024-01-30 16:20 818K 
[   ]bump2version-1.0.1-r6.apk2024-04-30 03:05 21K 
[   ]bump2version-pyc-1.0.1-r6.apk2024-04-30 03:05 29K 
[   ]burp-3.1.4-r0.apk2023-03-17 20:11 151K 
[   ]burp-doc-3.1.4-r0.apk2023-03-17 20:11 99K 
[   ]burp-server-3.1.4-r0.apk2023-03-17 20:11 36K 
[   ]butane-0.22.0-r1.apk2025-01-20 06:38 2.6M 
[   ]bwrap-oci-0.2-r1.apk2022-10-25 15:04 13K 
[   ]bwrap-oci-doc-0.2-r1.apk2022-10-25 15:04 2.2K 
[   ]cadence-0.9.2-r0.apk2022-10-13 06:22 1.9M 
[   ]caffeine-ng-4.2.0-r1.apk2024-04-30 03:05 100K 
[   ]caffeine-ng-doc-4.2.0-r1.apk2024-04-30 03:05 2.9K 
[   ]caffeine-ng-lang-4.2.0-r1.apk2024-04-30 03:05 34K 
[   ]caps2esc-0.3.2-r0.apk2023-06-29 15:31 4.3K 
[   ]cargo-chef-0.1.71-r0.apk2025-01-30 03:57 1.1M 
[   ]cargo-chef-doc-0.1.71-r0.apk2025-01-30 03:57 8.8K 
[   ]cargo-crev-0.25.9-r0.apk2024-06-23 21:20 5.8M 
[   ]cargo-expand-1.0.100-r0.apk2025-01-12 22:23 2.3M 
[   ]cargo-expand-doc-1.0.100-r0.apk2025-01-12 22:23 5.4K 
[   ]cargo-flamegraph-0.6.7-r0.apk2025-01-13 12:54 1.2M 
[   ]cargo-flamegraph-bash-completion-0.6.7-r0.apk2025-01-13 12:54 2.1K 
[   ]cargo-flamegraph-doc-0.6.7-r0.apk2025-01-13 12:54 14K 
[   ]cargo-flamegraph-fish-completion-0.6.7-r0.apk2025-01-13 12:54 2.2K 
[   ]cargo-flamegraph-zsh-completion-0.6.7-r0.apk2025-01-13 12:54 2.6K 
[   ]cargo-generate-0.22.0-r1.apk2024-11-25 10:46 2.0M 
[   ]cargo-machete-0.7.0-r0.apk2024-12-28 19:25 1.2M 
[   ]cargo-machete-doc-0.7.0-r0.apk2024-12-28 19:25 3.7K 
[   ]cargo-run-bin-1.7.2-r0.apk2024-01-19 03:19 426K 
[   ]cargo-run-bin-doc-1.7.2-r0.apk2024-01-19 03:19 4.8K 
[   ]cargo-shuttle-0.52.0-r0.apk2025-02-05 02:58 4.2M 
[   ]cargo-shuttle-bash-completion-0.52.0-r0.apk2025-02-05 02:58 4.4K 
[   ]cargo-shuttle-doc-0.52.0-r0.apk2025-02-05 02:58 8.8K 
[   ]cargo-shuttle-fish-completion-0.52.0-r0.apk2025-02-05 02:58 7.1K 
[   ]cargo-shuttle-zsh-completion-0.52.0-r0.apk2025-02-05 02:58 7.0K 
[   ]cargo-sort-1.0.9_git20240110-r0.apk2024-04-30 03:05 387K 
[   ]cargo-udeps-0.1.54-r0.apk2025-01-12 20:35 4.3M 
[   ]cargo-udeps-doc-0.1.54-r0.apk2025-01-12 20:35 7.2K 
[   ]cargo-update-16.1.0-r0.apk2025-02-08 02:45 1.1M 
[   ]cargo-update-doc-16.1.0-r0.apk2025-02-08 02:45 8.0K 
[   ]cargo-vendor-filterer-0.5.9-r1.apk2023-05-24 10:51 422K 
[   ]castero-0.9.5-r3.apk2024-08-07 03:50 52K 
[   ]castero-pyc-0.9.5-r3.apk2024-08-07 03:50 95K 
[   ]catcodec-1.0.5-r2.apk2022-05-22 17:02 12K 
[   ]catcodec-doc-1.0.5-r2.apk2022-05-22 17:02 4.9K 
[   ]catdoc-0.95-r1.apk2022-10-25 15:04 101K 
[   ]catdoc-doc-0.95-r1.apk2022-10-25 15:04 9.0K 
[   ]catfish-4.20.0-r0.apk2024-12-27 11:40 126K 
[   ]catfish-doc-4.20.0-r0.apk2024-12-27 11:40 13K 
[   ]catfish-lang-4.20.0-r0.apk2024-12-27 11:40 162K 
[   ]catfish-pyc-4.20.0-r0.apk2024-12-27 11:40 101K 
[   ]cava-0.10.3-r0.apk2024-11-16 21:10 42K 
[   ]cbqn-0.8.0-r0.apk2025-01-07 19:04 622K 
[   ]cc65-2.19-r0.apk2024-08-16 03:55 8.9M 
[   ]ccrtp-2.1.2-r0.apk2022-06-04 23:06 90K 
[   ]ccrtp-dev-2.1.2-r0.apk2022-06-04 23:06 53K 
[   ]ccrtp-doc-2.1.2-r0.apk2022-06-04 23:06 31K 
[   ]ccze-0.2.1-r1.apk2022-10-07 08:49 38K 
[   ]ccze-dev-0.2.1-r1.apk2022-10-07 08:49 3.1K 
[   ]ccze-doc-0.2.1-r1.apk2022-10-07 08:49 8.6K 
[   ]cdba-1.0-r2.apk2024-10-23 23:15 7.5K 
[   ]cdba-server-1.0-r2.apk2024-10-23 23:15 22K 
[   ]cddlib-0.94m-r2.apk2023-08-19 21:23 182K 
[   ]cddlib-dev-0.94m-r2.apk2023-08-19 21:23 14K 
[   ]cddlib-doc-0.94m-r2.apk2023-08-19 21:23 863K 
[   ]cddlib-static-0.94m-r2.apk2023-08-19 21:23 447K 
[   ]cddlib-tools-0.94m-r2.apk2023-08-19 21:23 36K 
[   ]cdist-7.0.0-r6.apk2024-08-07 03:50 511K 
[   ]cdist-pyc-7.0.0-r6.apk2024-08-07 03:50 127K 
[   ]cdogs-sdl-2.1.0-r0.apk2024-04-30 03:05 33M 
[   ]certbot-dns-njalla-2.0.0-r0.apk2024-11-28 00:08 9.0K 
[   ]certbot-dns-njalla-pyc-2.0.0-r0.apk2024-11-28 00:08 4.0K 
[   ]certbot-dns-pdns-0.1.1-r0.apk2024-09-29 08:49 8.6K 
[   ]certbot-dns-pdns-pyc-0.1.1-r0.apk2024-09-29 08:49 3.6K 
[   ]certigo-1.16.0-r19.apk2025-01-20 06:38 3.3M 
[   ]certstrap-1.3.0-r19.apk2025-01-20 06:38 2.0M 
[   ]cgiirc-0.5.12-r1.apk2023-12-25 17:27 132K 
[   ]cgo-0.6.1-r1.apk2022-10-25 15:04 8.9K 
[   ]cgo-doc-0.6.1-r1.apk2022-10-25 15:04 3.9K 
[   ]charls-2.4.2-r0.apk2023-12-14 17:54 67K 
[   ]charls-dev-2.4.2-r0.apk2023-12-14 17:54 26K 
[   ]chasquid-1.15.0-r0.apk2025-01-20 06:38 9.8M 
[   ]chasquid-doc-1.15.0-r0.apk2025-01-20 06:38 15K 
[   ]chasquid-openrc-1.15.0-r0.apk2025-01-20 06:38 1.6K 
[   ]checkpolicy-3.6-r0.apk2024-01-07 23:46 370K 
[   ]checkpolicy-doc-3.6-r0.apk2024-01-07 23:46 3.9K 
[   ]cherrytree-1.2.0-r3.apk2024-12-18 13:21 2.8M 
[   ]cherrytree-doc-1.2.0-r3.apk2024-12-18 13:21 1.8K 
[   ]cherrytree-lang-1.2.0-r3.apk2024-12-18 13:21 845K 
[   ]chicago95-3.0.1_git20240619-r0.apk2024-06-19 21:37 481K 
[   ]chicago95-fonts-3.0.1_git20240619-r0.apk2024-06-19 21:37 215K 
[   ]chicago95-icons-3.0.1_git20240619-r0.apk2024-06-19 21:37 12M 
[   ]chocolate-doom-3.1.0-r0.apk2024-08-07 03:50 1.7M 
[   ]chocolate-doom-doc-3.1.0-r0.apk2024-08-07 03:50 231K 
[   ]cilium-cli-0.16.13-r1.apk2025-01-20 06:38 53M 
[   ]cilium-cli-bash-completion-0.16.13-r1.apk2025-01-20 06:38 4.7K 
[   ]cilium-cli-fish-completion-0.16.13-r1.apk2025-01-20 06:38 4.0K 
[   ]cilium-cli-zsh-completion-0.16.13-r1.apk2025-01-20 06:38 3.7K 
[   ]cimg-3.4.1-r0.apk2024-08-29 12:07 826K 
[   ]circuslinux-1.0.3-r1.apk2021-12-05 09:37 18K 
[   ]circuslinux-data-1.0.3-r1.apk2021-12-05 09:37 1.1M 
[   ]circuslinux-doc-1.0.3-r1.apk2021-12-05 09:37 18K 
[   ]ckb-next-0.6.0-r1.apk2023-07-19 21:13 1.3M 
[   ]ckb-next-daemon-0.6.0-r1.apk2023-07-19 21:13 77K 
[   ]ckb-next-daemon-openrc-0.6.0-r1.apk2023-07-19 21:13 1.6K 
[   ]ckb-next-dev-0.6.0-r1.apk2023-07-19 21:13 4.8K 
[   ]clatd-1.6-r0.apk2023-04-20 00:32 12K 
[   ]clementine-1.4.1_git20250121-r0.apk2025-01-26 22:26 6.3M 
[   ]clevis-21-r0.apk2025-01-20 06:38 51K 
[   ]clevis-bash-completion-21-r0.apk2025-01-20 06:38 1.8K 
[   ]clevis-dbg-21-r0.apk2025-01-20 06:38 60K 
[   ]clevis-doc-21-r0.apk2025-01-20 06:38 23K 
[   ]clevis-extra-pins-0_git20230629-r0.apk2024-03-03 08:17 4.4K 
[   ]click-0.5.2-r3.apk2024-09-24 00:21 158K 
[   ]click-dev-0.5.2-r3.apk2024-09-24 00:21 9.0K 
[   ]click-doc-0.5.2-r3.apk2024-09-24 00:21 3.1K 
[   ]click-pyc-0.5.2-r3.apk2024-09-24 00:21 176K 
[   ]clinfo-3.0.23.01.25-r0.apk2023-02-10 13:02 41K 
[   ]clinfo-doc-3.0.23.01.25-r0.apk2023-02-10 13:02 6.2K 
[   ]cliphist-0.6.1-r1.apk2025-01-20 06:38 883K 
[   ]cliphist-fzf-0.6.1-r1.apk2025-01-20 06:38 1.5K 
[   ]clipit-1.4.5-r3.apk2024-09-30 16:20 65K 
[   ]clipit-doc-1.4.5-r3.apk2024-09-30 16:20 2.1K 
[   ]cliquer-1.22-r2.apk2023-08-19 21:23 6.9K 
[   ]cliquer-dev-1.22-r2.apk2023-08-19 21:23 7.2K 
[   ]cliquer-libs-1.22-r2.apk2023-08-19 21:23 23K 
[   ]cliquer-static-1.22-r2.apk2023-08-19 21:23 49K 
[   ]cliquer-tests-1.22-r2.apk2023-08-19 21:23 24K 
[   ]cln-1.3.7-r0.apk2024-01-28 15:06 479K 
[   ]cln-dev-1.3.7-r0.apk2024-01-28 15:06 2.3M 
[   ]cln-doc-1.3.7-r0.apk2024-01-28 15:06 78K 
[   ]cloudfoundry-cli-8.7.9-r5.apk2025-01-20 06:38 8.1M 
[   ]cluster-glue-1.0.12-r5.apk2023-05-01 23:45 240K 
[   ]cluster-glue-dev-1.0.12-r5.apk2023-05-01 23:45 1.9M 
[   ]cluster-glue-doc-1.0.12-r5.apk2023-05-01 23:45 33K 
[   ]cluster-glue-libs-1.0.12-r5.apk2023-05-01 23:45 101K 
[   ]cmusfm-0.5.0-r0.apk2023-07-23 01:39 15K 
[   ]cocogitto-6.2.0-r1.apk2024-12-12 22:47 2.1M 
[   ]cocogitto-bash-completion-6.2.0-r1.apk2024-12-12 22:47 2.8K 
[   ]cocogitto-doc-6.2.0-r1.apk2024-12-12 22:47 36K 
[   ]cocogitto-fish-completion-6.2.0-r1.apk2024-12-12 22:47 3.0K 
[   ]cocogitto-zsh-completion-6.2.0-r1.apk2024-12-12 22:47 2.8K 
[   ]code-minimap-0.6.7-r0.apk2024-12-12 22:37 346K 
[   ]code-minimap-doc-0.6.7-r0.apk2024-12-12 22:37 7.7K 
[   ]codeberg-cli-0.4.7-r0.apk2025-01-07 09:07 1.9M 
[   ]codeberg-cli-bash-completion-0.4.7-r0.apk2025-01-07 09:07 4.7K 
[   ]codeberg-cli-fish-completion-0.4.7-r0.apk2025-01-07 09:07 4.5K 
[   ]codeberg-cli-zsh-completion-0.4.7-r0.apk2025-01-07 09:07 6.4K 
[   ]coin-4.0.3-r0.apk2024-12-13 22:23 2.9M 
[   ]coin-dev-4.0.3-r0.apk2024-12-13 22:23 326K 
[   ]colormake-0.9.20170221-r0.apk2021-07-27 10:10 4.0K 
[   ]colormake-doc-0.9.20170221-r0.apk2021-07-27 10:10 2.7K 
[   ]colorpicker-0_git20201128-r1.apk2022-10-25 15:04 3.6K 
[   ]comics-downloader-0.33.8-r6.apk2025-01-20 06:38 3.5M 
[   ]comics-downloader-gui-0.33.8-r6.apk2025-01-20 06:38 5.3M 
[   ]commoncpp-7.0.1-r1.apk2022-10-07 08:49 285K 
[   ]commoncpp-dev-7.0.1-r1.apk2022-10-07 08:49 173K 
[   ]commoncpp-doc-7.0.1-r1.apk2022-10-07 08:49 15K 
[   ]commoncpp-tools-7.0.1-r1.apk2022-10-07 08:49 41K 
[   ]compiz-0.9.14.2-r7.apk2024-11-25 10:46 5.8M 
[   ]compiz-dev-0.9.14.2-r7.apk2024-11-25 10:46 117K 
[   ]compiz-lang-0.9.14.2-r7.apk2024-11-25 10:46 1.2M 
[   ]compiz-pyc-0.9.14.2-r7.apk2024-11-25 10:46 111K 
[   ]compiz-utils-0.9.14.2-r7.apk2024-11-25 10:46 3.0K 
[   ]conntracct-0.2.7-r27.apk2025-01-20 06:38 4.5M 
[   ]conntracct-openrc-0.2.7-r27.apk2025-01-20 06:38 1.6K 
[   ]console_bridge-1.0.2-r0.apk2022-11-08 21:59 9.0K 
[   ]console_bridge-dev-1.0.2-r0.apk2022-11-08 21:59 4.5K 
[   ]consul-replicate-0.4.0-r27.apk2025-01-20 06:38 2.5M 
[   ]contractor-0.3.5-r0.apk2024-11-12 23:47 26K 
[   ]convert2json-1.1.2-r0.apk2024-11-30 13:16 2.2M 
[   ]convert2json-doc-1.1.2-r0.apk2024-11-30 13:16 10K 
[   ]copyq-9.1.0-r1.apk2024-12-12 19:04 2.6M 
[   ]copyq-bash-completion-9.1.0-r1.apk2024-12-12 19:04 2.0K 
[   ]copyq-doc-9.1.0-r1.apk2024-12-12 19:04 3.2K 
[   ]cortex-tenant-1.15.2-r2.apk2025-01-20 06:38 3.8M 
[   ]cortex-tenant-openrc-1.15.2-r2.apk2025-01-20 06:38 1.7K 
[   ]cowsay-3.04-r2.apk2022-10-25 15:04 18K 
[   ]cowsay-doc-3.04-r2.apk2022-10-25 15:04 3.7K 
[   ]coxeter-3.0-r1.apk2023-08-19 21:23 48K 
[   ]coxeter-dev-3.0-r1.apk2023-08-19 21:23 56K 
[   ]coxeter-libs-3.0-r1.apk2023-08-19 21:23 304K 
[   ]cpiped-0.1.0-r0.apk2021-07-27 10:10 6.3K 
[   ]cpplint-2.0.0-r0.apk2024-11-21 21:27 77K 
[   ]cpplint-pyc-2.0.0-r0.apk2024-11-21 21:27 96K 
[   ]cproc-0_git20240427-r1.apk2024-11-03 23:23 54K 
[   ]cproc-dbg-0_git20240427-r1.apk2024-11-03 23:23 119K 
[   ]cproc-doc-0_git20240427-r1.apk2024-11-03 23:23 2.6K 
[   ]cpufetch-1.06-r0.apk2024-08-29 12:07 28K 
[   ]cpufetch-doc-1.06-r0.apk2024-08-29 12:07 2.8K 
[   ]crazydiskinfo-1.1.0-r1.apk2022-10-25 15:04 34K 
[   ]create-tauri-app-4.5.9-r0.apk2024-12-26 05:50 637K 
[   ]create-tauri-app-doc-4.5.9-r0.apk2024-12-26 05:50 5.8K 
[   ]createrepo_c-1.1.4-r0.apk2024-09-27 04:02 45K 
[   ]createrepo_c-bash-completion-1.1.4-r0.apk2024-09-27 04:02 2.6K 
[   ]createrepo_c-dev-1.1.4-r0.apk2024-09-27 04:02 31K 
[   ]createrepo_c-doc-1.1.4-r0.apk2024-09-27 04:02 8.4K 
[   ]createrepo_c-libs-1.1.4-r0.apk2024-09-27 04:02 88K 
[   ]crispy-doom-7.0-r0.apk2024-08-16 03:55 1.9M 
[   ]crispy-doom-doc-7.0-r0.apk2024-08-16 03:55 107K 
[   ]critcl-3.3.1-r0.apk2024-10-22 21:34 430K 
[   ]critcl-doc-3.3.1-r0.apk2024-10-22 21:34 787K 
[   ]crossplane-0.5.8-r3.apk2024-08-31 17:07 30K 
[   ]crossplane-pyc-0.5.8-r3.apk2024-08-31 17:07 39K 
[   ]crosstool-ng-1.27.0-r0.apk2025-02-06 06:25 2.1M 
[   ]crosstool-ng-bash-completion-1.27.0-r0.apk2025-02-06 06:25 1.9K 
[   ]crosstool-ng-doc-1.27.0-r0.apk2025-02-06 06:25 33K 
[   ]crowdsec-1.6.4-r1.apk2025-01-20 06:38 32M 
[   ]crowdsec-email-plugin-1.6.4-r1.apk2025-01-20 06:38 7.8M 
[   ]crowdsec-http-plugin-1.6.4-r1.apk2025-01-20 06:38 7.7M 
[   ]crowdsec-openrc-1.6.4-r1.apk2025-01-20 06:38 1.5K 
[   ]crowdsec-sentinel-plugin-1.6.4-r1.apk2025-01-20 06:38 7.7M 
[   ]crowdsec-slack-plugin-1.6.4-r1.apk2025-01-20 06:38 7.7M 
[   ]crowdsec-splunk-plugin-1.6.4-r1.apk2025-01-20 06:38 7.7M 
[   ]crun-vm-0.3.0-r0.apk2024-11-12 17:00 1.0M 
[   ]crun-vm-doc-0.3.0-r0.apk2024-11-12 17:00 13K 
[   ]cscope-15.9-r1.apk2022-10-14 21:59 150K 
[   ]cscope-doc-15.9-r1.apk2022-10-14 21:59 7.2K 
[   ]csfml-2.5.2-r0.apk2023-07-04 13:04 97K 
[   ]csfml-dev-2.5.2-r0.apk2023-07-04 13:04 77K 
[   ]csfml-doc-2.5.2-r0.apk2023-07-04 13:04 204K 
[   ]csmith-2.3.0-r2.apk2024-07-01 16:13 312K 
[   ]csmith-doc-2.3.0-r2.apk2024-07-01 16:13 2.8K 
[   ]csol-1.6.0-r0.apk2023-03-10 22:03 33K 
[   ]csol-doc-1.6.0-r0.apk2023-03-10 22:03 3.6K 
[   ]ctorrent-dnh-3.3.2-r2.apk2022-10-25 15:04 91K 
[   ]cups-pdf-3.0.1-r2.apk2024-03-26 13:26 22K 
[   ]curlftpfs-0.9.2-r3.apk2022-10-25 15:04 21K 
[   ]curlftpfs-doc-0.9.2-r3.apk2022-10-25 15:04 5.9K 
[   ]curtail-1.11.1-r0.apk2024-10-15 02:04 26K 
[   ]curtail-lang-1.11.1-r0.apk2024-10-15 02:04 66K 
[   ]cutechess-1.3.1-r0.apk2023-09-24 20:53 1.1M 
[   ]cutechess-cli-1.3.1-r0.apk2023-09-24 20:53 343K 
[   ]cutechess-cli-doc-1.3.1-r0.apk2023-09-24 20:53 6.3K 
[   ]cutechess-doc-1.3.1-r0.apk2023-09-24 20:53 3.3K 
[   ]cvise-2.8.0-r2.apk2023-07-30 14:12 5.6M 
[   ]cvise-pyc-2.8.0-r2.apk2023-07-30 14:12 63K 
[   ]cvs-fast-export-1.65-r0.apk2024-03-03 08:17 49K 
[   ]cvs-fast-export-doc-1.65-r0.apk2024-03-03 08:17 17K 
[   ]cvs-fast-export-tools-1.65-r0.apk2024-03-03 08:17 8.4K 
[   ]cyrus-sasl-xoauth2-0.2-r1.apk2023-05-21 14:09 6.3K 
[   ]cyrus-sasl-xoauth2-doc-0.2-r1.apk2023-05-21 14:09 2.0K 
[   ]cyrus-sasl-xoauth2-static-0.2-r1.apk2023-05-21 14:09 9.7K 
[   ]cz-viator-hourglass-black-20210706-r0.apk2022-02-07 13:49 219K 
[   ]daemontools-0.76-r3.apk2024-09-26 13:04 65K 
[   ]daemontools-openrc-0.76-r3.apk2024-09-26 13:04 1.7K 
[   ]daktilo-0.6.0-r0.apk2024-04-30 03:05 1.7M 
[   ]daktilo-bash-completion-0.6.0-r0.apk2024-04-30 03:05 1.9K 
[   ]daktilo-doc-0.6.0-r0.apk2024-04-30 03:05 8.4K 
[   ]daktilo-fish-completion-0.6.0-r0.apk2024-04-30 03:05 1.7K 
[   ]daktilo-zsh-completion-0.6.0-r0.apk2024-04-30 03:05 2.0K 
[   ]dart-stage0-3.6.0_alpha2_p0-r0.apk2024-12-15 02:12 203M 
[   ]darts-clone-0_git20181117-r0.apk2022-01-27 03:00 38K 
[   ]darts-clone-dev-0_git20181117-r0.apk2022-01-27 03:00 13K 
[   ]dasht-2.4.0-r0.apk2023-12-24 04:13 14K 
[   ]dasht-doc-2.4.0-r0.apk2023-12-24 04:13 11K 
[   ]dasht-zsh-completion-2.4.0-r0.apk2023-12-24 04:13 1.8K 
[   ]dbmate-2.16.0-r1.apk2025-01-20 06:38 9.7M 
[   ]dbmate-doc-2.16.0-r1.apk2025-01-20 06:38 1.9K 
[   ]dbus-broker-36-r0.apk2024-09-30 04:20 85K 
[   ]dbus-broker-doc-36-r0.apk2024-09-30 04:20 5.6K 
[   ]dbus-waiter-0.2.0-r0.apk2023-12-14 17:54 653K 
[   ]dcmtk-3.6.9-r0.apk2025-01-20 06:38 1.2M 
[   ]dcmtk-dev-3.6.9-r0.apk2025-01-20 06:38 1.6M 
[   ]dcmtk-doc-3.6.9-r0.apk2025-01-20 06:38 257K 
[   ]dcmtk-openrc-3.6.9-r0.apk2025-01-20 06:38 1.4K 
[   ]dcnnt-0.10.0-r1.apk2024-04-30 03:05 28K 
[   ]dcnnt-doc-0.10.0-r1.apk2024-04-30 03:05 6.3K 
[   ]dcnnt-pyc-0.10.0-r1.apk2024-04-30 03:05 61K 
[   ]ddcci-driver-linux-src-0.4.5-r1.apk2025-01-09 12:45 19K 
[   ]ddgr-2.2-r0.apk2024-01-17 17:50 20K 
[   ]ddgr-bash-completion-2.2-r0.apk2024-01-17 17:50 2.0K 
[   ]ddgr-doc-2.2-r0.apk2024-01-17 17:50 11K 
[   ]ddgr-fish-completion-2.2-r0.apk2024-01-17 17:50 2.0K 
[   ]ddgr-zsh-completion-2.2-r0.apk2024-01-17 17:50 2.4K 
[   ]ddnrs-0.3.0-r0.apk2024-05-12 02:19 935K 
[   ]ddnrs-openrc-0.3.0-r0.apk2024-05-12 02:19 1.7K 
[   ]ddserver-0_git20200930-r1.apk2022-10-25 15:04 12K 
[   ]deadbeef-soxr-20180801-r0.apk2021-07-27 10:10 5.2K 
[   ]debconf-1.5.82-r0.apk2023-03-16 18:36 69K 
[   ]debconf-bash-completion-1.5.82-r0.apk2023-03-16 18:36 1.6K 
[   ]debconf-doc-1.5.82-r0.apk2023-03-16 18:36 27K 
[   ]debconf-lang-1.5.82-r0.apk2023-03-16 18:36 132K 
[   ]debconf-utils-1.5.82-r0.apk2023-03-16 18:36 6.4K 
[   ]deblob-0.9-r0.apk2024-12-01 17:11 127K 
[   ]deblob-doc-0.9-r0.apk2024-12-01 17:11 3.5K 
[   ]decoder-0.6.1-r0.apk2024-10-20 01:08 1.9M 
[   ]decoder-lang-0.6.1-r0.apk2024-10-20 01:08 59K 
[   ]dehydrated-0.7.1-r0.apk2023-01-20 23:16 26K 
[   ]desed-1.2.1-r1.apk2024-07-14 07:43 397K 
[   ]desed-doc-1.2.1-r1.apk2024-07-14 07:43 2.6K 
[   ]desync-0.9.6-r1.apk2025-01-20 06:38 7.1M 
[   ]detox-2.0.0-r0.apk2024-04-01 22:11 113K 
[   ]detox-doc-2.0.0-r0.apk2024-04-01 22:11 20K 
[   ]devil-1.8.0-r0.apk2023-09-12 12:25 257K 
[   ]devil-dev-1.8.0-r0.apk2023-09-12 12:25 13K 
[   ]dewduct-0.2.3-r0.apk2024-06-14 22:19 1.1M 
[   ]dfl-applications-0.2.0-r0.apk2023-12-30 14:39 20K 
[   ]dfl-applications-dev-0.2.0-r0.apk2023-12-30 14:39 3.9K 
[   ]dfl-ipc-0.2.0-r0.apk2023-12-30 14:39 21K 
[   ]dfl-ipc-dev-0.2.0-r0.apk2023-12-30 14:39 3.4K 
[   ]dfl-login1-0.2.0-r0.apk2023-12-30 14:39 16K 
[   ]dfl-login1-dev-0.2.0-r0.apk2023-12-30 14:39 3.2K 
[   ]dfl-sni-0.2.0-r0.apk2023-12-30 14:39 32K 
[   ]dfl-sni-dev-0.2.0-r0.apk2023-12-30 14:39 3.9K 
[   ]dfu-programmer-1.1.0-r0.apk2023-07-10 22:22 35K 
[   ]dfu-programmer-bash-completion-1.1.0-r0.apk2023-07-10 22:22 2.5K 
[   ]dfu-programmer-doc-1.1.0-r0.apk2023-07-10 22:22 5.5K 
[   ]diceware-1.0.1-r0.apk2025-01-13 23:52 334K 
[   ]diceware-pyc-1.0.1-r0.apk2025-01-13 23:52 18K 
[   ]ding-libs-0.6.2-r4.apk2023-12-14 17:54 72K 
[   ]ding-libs-dev-0.6.2-r4.apk2023-12-14 17:54 68K 
[   ]disfetch-3.7-r0.apk2023-06-09 00:40 8.0K 
[   ]dislocker-0.7.3-r5.apk2024-04-30 03:05 12K 
[   ]dislocker-doc-0.7.3-r5.apk2024-04-30 03:05 5.8K 
[   ]dislocker-libs-0.7.3-r5.apk2024-04-30 03:05 46K 
[   ]dive-0.12.0-r1.apk2025-01-20 06:38 3.8M 
[   ]dived-1.9.0-r0.apk2024-10-22 21:34 22K 
[   ]dived-doc-1.9.0-r0.apk2024-10-22 21:34 10K 
[   ]dlib-19.24.4-r0.apk2024-04-02 22:19 793K 
[   ]dlib-dev-19.24.4-r0.apk2024-04-02 22:19 2.4M 
[   ]dmarc-cat-0.15.0-r1.apk2025-01-20 06:38 2.3M 
[   ]dmarc-metrics-exporter-1.2.0-r0.apk2024-11-29 23:38 25K 
[   ]dmarc-metrics-exporter-openrc-1.2.0-r0.apk2024-11-29 23:38 1.6K 
[   ]dmarc-metrics-exporter-pyc-1.2.0-r0.apk2024-11-29 23:38 46K 
[   ]dnote-0.15.1-r1.apk2025-01-20 06:38 4.0M 
[   ]dnote-bash-completion-0.15.1-r1.apk2025-01-20 06:38 1.8K 
[   ]dnote-doc-0.15.1-r1.apk2025-01-20 06:38 14K 
[   ]dnote-zsh-completion-0.15.1-r1.apk2025-01-20 06:38 1.7K 
[   ]dnscontrol-4.16.0-r0.apk2025-02-07 06:46 14M 
[   ]dnscontrol-doc-4.16.0-r0.apk2025-02-07 06:46 2.0K 
[   ]dnscrypt-wrapper-0.4.2-r3.apk2023-12-19 22:12 31K 
[   ]dnsenum-1.3.2-r0.apk2024-04-30 03:05 21K 
[   ]dnsenum-doc-1.3.2-r0.apk2024-04-30 03:05 5.0K 
[   ]dnsperf-2.14.0-r0.apk2024-01-19 10:41 72K 
[   ]dnsperf-doc-2.14.0-r0.apk2024-01-19 10:41 35K 
[   ]dnssec-tools-2.2.3-r12.apk2024-10-02 11:12 753K 
[   ]dnssec-tools-dev-2.2.3-r12.apk2024-10-02 11:12 320K 
[   ]dnssec-tools-doc-2.2.3-r12.apk2024-10-02 11:12 315K 
[   ]doasedit-1.0.7-r0.apk2024-03-03 08:17 3.3K 
[   ]docker-auth-1.13.0-r0.apk2025-02-08 01:04 9.0M 
[   ]docker-auth-doc-1.13.0-r0.apk2025-02-08 01:04 10K 
[   ]docker-auth-openrc-1.13.0-r0.apk2025-02-08 01:04 1.7K 
[   ]docker-volume-local-persist-1.3.0-r29.apk2025-01-20 06:38 2.2M 
[   ]docker-volume-local-persist-openrc-1.3.0-r29.apk2025-01-20 06:38 1.5K 
[   ]dockerize-0.9.0-r1.apk2025-01-20 06:38 2.9M 
[   ]dolt-1.43.20-r1.apk2025-01-20 06:38 35M 
[   ]dooit-3.1.0-r0.apk2024-12-07 21:54 44K 
[   ]dooit-extras-0.2.0-r0.apk2024-12-07 21:54 12K 
[   ]dooit-extras-pyc-0.2.0-r0.apk2024-12-07 21:54 23K 
[   ]dooit-pyc-3.1.0-r0.apk2024-12-07 21:54 99K 
[   ]downloader-cli-0.3.4-r1.apk2024-04-30 03:05 1.7K 
[   ]draco-1.5.7-r1.apk2024-08-07 03:50 836K 
[   ]draco-dev-1.5.7-r1.apk2024-08-07 03:50 213K 
[   ]draco-static-1.5.7-r1.apk2024-08-07 03:50 2.9M 
[   ]draco-tools-1.5.7-r1.apk2024-08-07 03:50 1.2M 
[   ]draw-0.1.1-r9.apk2025-01-20 06:38 934K 
[   ]drawing-1.0.2-r0.apk2023-03-08 00:50 3.2M 
[   ]drawing-lang-1.0.2-r0.apk2023-03-08 00:50 272K 
[   ]drogon-1.9.4-r1.apk2024-10-08 05:30 1.4M 
[   ]drogon-dev-1.9.4-r1.apk2024-10-08 05:30 124K 
[   ]drogon-doc-1.9.4-r1.apk2024-10-08 05:30 2.0K 
[   ]droidcam-2.1.3-r1.apk2024-11-09 07:47 19K 
[   ]droidcam-gui-2.1.3-r1.apk2024-11-09 07:47 28K 
[   ]drone-cli-1.8.0-r6.apk2025-01-20 06:38 5.3M 
[   ]dropwatch-1.5.4-r6.apk2024-08-29 12:07 15K 
[   ]dropwatch-doc-1.5.4-r6.apk2024-08-29 12:07 3.4K 
[   ]drumgizmo-0.9.20-r1.apk2023-06-22 09:05 404K 
[   ]drupal7-7.103-r0.apk2024-12-04 18:28 3.3M 
[   ]drupal7-doc-7.103-r0.apk2024-12-04 18:28 57K 
[   ]dsnet-0.7.3-r7.apk2025-01-20 06:38 3.5M 
[   ]dsnet-doc-0.7.3-r7.apk2025-01-20 06:38 8.9K 
[   ]dsp-1.9-r2.apk2024-06-17 08:21 97K 
[   ]dsp-doc-1.9-r2.apk2024-06-17 08:21 6.9K 
[   ]dstask-0.26-r10.apk2025-01-20 06:38 1.3M 
[   ]dstask-bash-completion-0.26-r10.apk2025-01-20 06:38 1.8K 
[   ]dstask-import-0.26-r10.apk2025-01-20 06:38 3.0M 
[   ]dstask-zsh-completion-0.26-r10.apk2025-01-20 06:38 1.3K 
[   ]dublin-traceroute-0.4.2-r4.apk2024-10-08 05:30 46K 
[   ]dublin-traceroute-contrib-0.4.2-r4.apk2024-10-08 05:30 2.6K 
[   ]dublin-traceroute-dev-0.4.2-r4.apk2024-10-08 05:30 6.6K 
[   ]dublin-traceroute-doc-0.4.2-r4.apk2024-10-08 05:30 2.0K 
[   ]duc-1.4.5-r0.apk2023-06-27 18:30 89K 
[   ]duc-doc-1.4.5-r0.apk2023-06-27 18:30 8.8K 
[   ]duf-0.8.1-r22.apk2025-01-20 06:38 1.0M 
[   ]dufs-0.43.0-r0.apk2025-01-23 21:06 1.4M 
[   ]dufs-bash-completion-0.43.0-r0.apk2025-01-23 21:06 2.0K 
[   ]dufs-doc-0.43.0-r0.apk2025-01-23 21:06 10K 
[   ]dufs-fish-completion-0.43.0-r0.apk2025-01-23 21:06 2.1K 
[   ]dufs-zsh-completion-0.43.0-r0.apk2025-01-23 21:06 2.4K 
[   ]dulcepan-1.0.2-r0.apk2024-09-16 13:50 21K 
[   ]dumb_runtime_dir-1.0.4-r3.apk2024-09-09 13:49 3.2K 
[   ]dustracing2d-2.1.1-r1.apk2022-10-25 15:04 5.1M 
[   ]dvdbackup-0.4.2-r1.apk2022-10-14 21:59 15K 
[   ]dvdbackup-doc-0.4.2-r1.apk2022-10-14 21:59 7.3K 
[   ]dvdbackup-lang-0.4.2-r1.apk2022-10-14 21:59 1.2K 
[   ]dwl-0.7-r0.apk2024-10-05 09:31 27K 
[   ]dwl-doc-0.7-r0.apk2024-10-05 09:31 2.9K 
[   ]e16-1.0.30-r0.apk2024-11-05 14:42 788K 
[   ]e16-doc-1.0.30-r0.apk2024-11-05 14:42 27K 
[   ]e16-lang-1.0.30-r0.apk2024-11-05 14:42 379K 
[   ]eatmemory-0.1.6-r2.apk2022-10-25 15:04 3.7K 
[   ]eboard-1.1.3-r1.apk2023-07-30 14:12 1.4M 
[   ]eboard-doc-1.1.3-r1.apk2023-07-30 14:12 4.4K 
[   ]ecasound-2.9.3-r3.apk2023-09-25 22:19 698K 
[   ]ecasound-dev-2.9.3-r3.apk2023-09-25 22:19 2.1M 
[   ]ecasound-doc-2.9.3-r3.apk2023-09-25 22:19 38K 
[   ]eccodes-2.32.1-r0.apk2023-12-14 17:55 11M 
[   ]eclib-20250122-r0.apk2025-01-23 08:02 317K 
[   ]eclib-dev-20250122-r0.apk2025-01-23 08:02 94K 
[   ]eclib-doc-20250122-r0.apk2025-01-23 08:02 28K 
[   ]eclib-libs-20250122-r0.apk2025-01-23 08:02 1.1M 
[   ]eclib-static-20250122-r0.apk2025-01-23 08:02 20M 
[   ]ecm-7.0.5-r1.apk2023-05-21 14:09 126K 
[   ]ecm-dev-7.0.5-r1.apk2023-05-21 14:09 4.6K 
[   ]ecm-doc-7.0.5-r1.apk2023-05-21 14:09 6.9K 
[   ]ecos-2.0.10-r0.apk2022-05-24 01:27 36K 
[   ]ecos-dev-2.0.10-r0.apk2022-05-24 01:27 28K 
[   ]edam-1.0.2-r0.apk2025-01-07 16:33 38K 
[   ]edam-doc-1.0.2-r0.apk2025-01-07 16:33 8.0K 
[   ]edward-1.1.0-r0.apk2024-09-04 20:45 1.9M 
[   ]edward-doc-1.1.0-r0.apk2024-09-04 20:45 5.0K 
[   ]efibootguard-0.16-r0.apk2024-07-01 12:43 90K 
[   ]efibootguard-bash-completion-0.16-r0.apk2024-07-01 12:43 3.3K 
[   ]efibootguard-dev-0.16-r0.apk2024-07-01 12:43 36K 
[   ]efibootguard-zsh-completion-0.16-r0.apk2024-07-01 12:43 2.7K 
[   ]eiwd-2.22-r0.apk2024-10-12 10:27 829K 
[   ]eiwd-doc-2.22-r0.apk2024-10-12 10:27 20K 
[   ]eiwd-openrc-2.22-r0.apk2024-10-12 10:27 1.6K 
[   ]elementary-calculator-8.0.0-r0.apk2024-10-28 23:06 69K 
[   ]elementary-calculator-lang-8.0.0-r0.apk2024-10-28 23:06 57K 
[   ]elementary-camera-8.0.0-r0.apk2024-10-27 15:22 84K 
[   ]elementary-camera-lang-8.0.0-r0.apk2024-10-27 15:22 33K 
[   ]elementary-feedback-8.0.0-r0.apk2024-10-27 15:18 42K 
[   ]elementary-feedback-lang-8.0.0-r0.apk2024-10-27 15:18 43K 
[   ]elementary-icon-theme-8.0.0-r0.apk2024-10-23 23:15 5.0M 
[   ]elementary-music-8.0.0-r0.apk2024-10-28 23:07 72K 
[   ]elementary-music-lang-8.0.0-r0.apk2024-10-28 23:07 47K 
[   ]elementary-sound-theme-1.1.0-r0.apk2024-11-11 00:20 83K 
[   ]elementary-theme-8.1.0-r0.apk2025-01-12 21:52 1.5M 
[   ]elementary-videos-8.0.1-r0.apk2024-11-11 00:20 109K 
[   ]elementary-videos-lang-8.0.1-r0.apk2024-11-11 00:20 85K 
[   ]elf_diff-0.7.1-r3.apk2024-08-07 03:50 108K 
[   ]elf_diff-pyc-0.7.1-r3.apk2024-08-07 03:50 108K 
[   ]elfio-3.12-r0.apk2023-08-30 12:51 1.2K 
[   ]elfio-dev-3.12-r0.apk2023-08-30 12:51 55K 
[   ]emacs-ace-window-0.10.0_git20220911-r0.apk2024-04-02 22:19 23K 
[   ]emacs-avy-0.5.0_git20230420-r0.apk2024-04-02 22:19 43K 
[   ]emacs-avy-embark-collect-1.0_git20240327-r0.apk2024-04-30 03:05 3.6K 
[   ]emacs-centaur-tabs-3.2_git20230601-r0.apk2024-04-02 22:19 55K 
[   ]emacs-closql-1.2.1_git20240712-r0.apk2024-08-07 03:50 14K 
[   ]emacs-consult-1.4_git20240405-r0.apk2024-04-30 03:05 137K 
[   ]emacs-derl-0_git20231004-r0.apk2024-05-07 10:33 23K 
[   ]emacs-elfeed-3.4.1_git20240326-r0.apk2024-04-02 22:19 91K 
[   ]emacs-emacsql-3.1.1_git20240714-r0.apk2024-08-07 03:50 22K 
[   ]emacs-emacsql-mysql-3.1.1_git20240714-r0.apk2024-08-07 03:50 5.8K 
[   ]emacs-emacsql-psql-3.1.1_git20240714-r0.apk2024-08-07 03:50 5.6K 
[   ]emacs-emacsql-sqlite-3.1.1_git20240714-r0.apk2024-08-07 03:50 17K 
[   ]emacs-emacsql-sqlite-module-3.1.1_git20240714-r0.apk2024-08-07 03:50 4.0K 
[   ]emacs-embark-1.0_git20240327-r0.apk2024-04-30 03:05 109K 
[   ]emacs-embark-consult-1.0_git20240327-r0.apk2024-04-30 03:05 10K 
[   ]emacs-ement-0.15.1-r0.apk2024-07-10 05:12 287K 
[   ]emacs-epkg-3.3.3_git20240713-r0.apk2024-08-07 03:50 37K 
[   ]emacs-fossil-0_git20230504-r0.apk2024-05-07 10:33 14K 
[   ]emacs-gnosis-0.3.2-r0.apk2024-08-07 03:50 62K 
[   ]emacs-hackernews-0.7.0-r0.apk2024-04-02 22:19 15K 
[   ]emacs-helm-3.9.7_git20240329-r0.apk2024-04-02 22:19 815K 
[   ]emacs-hnreader-0_git20221116-r0.apk2024-04-02 22:19 9.5K 
[   ]emacs-hydra-0.15.0_git20220910-r0.apk2024-04-02 22:19 46K 
[   ]emacs-llama-0.3.1_git20240722-r0.apk2024-08-07 03:50 9.7K 
[   ]emacs-persist-0.6_git20240114-r0.apk2024-04-02 22:19 6.4K 
[   ]emacs-powerline-2.4_git20221110-r0.apk2024-04-02 22:19 29K 
[   ]emacs-sqlite3-api-0.18-r0.apk2024-08-07 03:50 17K 
[   ]emacs-svg-lib-0_git20240219-r0.apk2024-04-02 22:19 19K 
[   ]emacs-taxy-0.10.1-r0.apk2024-04-02 22:19 11K 
[   ]emacs-taxy-magit-section-0.13-r0.apk2024-04-02 22:19 17K 
[   ]empede-0.2.3-r0.apk2024-01-07 02:56 1.6M 
[   ]empede-doc-0.2.3-r0.apk2024-01-07 02:56 2.0K 
[   ]empede-openrc-0.2.3-r0.apk2024-01-07 02:56 1.7K 
[   ]emulationstation-2.11.2-r1.apk2024-05-21 18:15 1.2M 
[   ]emulationstation-theme-gbz35-2.11.2-r1.apk2024-05-21 18:15 3.3M 
[   ]endeavour-43.0-r2.apk2024-12-09 01:30 189K 
[   ]endeavour-dev-43.0-r2.apk2024-12-09 01:30 45K 
[   ]endeavour-doc-43.0-r2.apk2024-12-09 01:30 68K 
[   ]endeavour-lang-43.0-r2.apk2024-12-09 01:30 203K 
[   ]endlessh-1.1-r0.apk2022-03-01 20:44 7.8K 
[   ]endlessh-doc-1.1-r0.apk2022-03-01 20:44 2.4K 
[   ]enjoy-0.3-r1.apk2023-02-03 16:25 10K 
[   ]enlighten-0.9.2-r1.apk2022-10-25 15:04 6.0K 
[   ]enlighten-doc-0.9.2-r1.apk2022-10-25 15:04 3.3K 
[   ]envconsul-0.13.2-r9.apk2025-01-20 06:38 4.4M 
[   ]envsubst-0.1-r1.apk2022-07-04 16:23 4.1K 
[   ]epoch-1.3.0-r2.apk2024-09-29 17:31 60K 
[   ]epr-2.4.15-r1.apk2024-04-30 03:05 15K 
[   ]epr-pyc-2.4.15-r1.apk2024-04-30 03:05 24K 
[   ]ergo-ldap-0.0.1-r13.apk2025-01-20 06:38 1.9M 
[   ]ergo-ldap-doc-0.0.1-r13.apk2025-01-20 06:38 2.0K 
[   ]errands-46.2.7-r0.apk2025-01-31 16:09 85K 
[   ]errands-lang-46.2.7-r0.apk2025-01-31 16:09 71K 
[   ]espeakup-0.90-r2.apk2024-03-14 00:01 12K 
[   ]espeakup-openrc-0.90-r2.apk2024-03-14 00:01 1.6K 
[   ]esptool-4.8.1-r0.apk2024-10-05 14:52 424K 
[   ]esptool-pyc-4.8.1-r0.apk2024-10-05 14:52 549K 
[   ]ettercap-0.8.3.1-r3.apk2024-07-13 05:59 563K 
[   ]ettercap-doc-0.8.3.1-r3.apk2024-07-13 05:59 45K 
[   ]evolution-on-3.24.4-r0.apk2024-10-30 18:45 9.9K 
[   ]exabgp-4.2.22-r0.apk2024-11-30 11:49 437K 
[   ]exabgp-doc-4.2.22-r0.apk2024-11-30 11:49 7.8K 
[   ]exabgp-openrc-4.2.22-r0.apk2024-11-30 11:49 1.9K 
[   ]exabgp-pyc-4.2.22-r0.apk2024-11-30 11:49 891K 
[   ]exercism-3.2.0-r8.apk2025-01-20 06:38 3.7M 
[   ]exercism-bash-completion-3.2.0-r8.apk2025-01-20 06:38 1.7K 
[   ]exercism-fish-completion-3.2.0-r8.apk2025-01-20 06:38 2.1K 
[   ]exercism-zsh-completion-3.2.0-r8.apk2025-01-20 06:38 1.8K 
[   ]extrace-0.9-r0.apk2024-04-30 03:05 9.6K 
[   ]extrace-doc-0.9-r0.apk2024-04-30 03:05 3.3K 
[   ]extremetuxracer-0.8.3-r0.apk2023-07-25 15:16 40M 
[   ]extremetuxracer-doc-0.8.3-r0.apk2023-07-25 15:16 6.4K 
[   ]extundelete-0.2.4-r1.apk2022-10-14 21:59 39K 
[   ]f_scripts-0.6-r1.apk2024-09-24 23:36 1.2K 
[   ]f_scripts-f_audio-0.6-r1.apk2024-09-24 23:36 3.1K 
[   ]f_scripts-f_files-0.6-r1.apk2024-09-24 23:36 2.7K 
[   ]f_scripts-f_game-0.6-r1.apk2024-09-24 23:36 1.6K 
[   ]f_scripts-f_maps-0.6-r1.apk2024-09-24 23:36 1.9K 
[   ]f_scripts-f_networks-0.6-r1.apk2024-09-24 23:36 2.7K 
[   ]f_scripts-f_phone-0.6-r1.apk2024-09-24 23:36 5.7K 
[   ]f_scripts-f_rss-0.6-r1.apk2024-09-24 23:36 2.3K 
[   ]f_scripts-f_theme-0.6-r1.apk2024-09-24 23:36 2.3K 
[   ]f_scripts-f_timer-0.6-r1.apk2024-09-24 23:36 2.1K 
[   ]f_scripts-f_web-0.6-r1.apk2024-09-24 23:36 2.6K 
[   ]f_scripts-f_youtube-0.6-r1.apk2024-09-24 23:36 2.5K 
[   ]fabric-3.2.2-r1.apk2024-04-30 03:05 54K 
[   ]fabric-pyc-3.2.2-r1.apk2024-04-30 03:05 60K 
[   ]fakeroot-tcp-1.32.1-r1.apk2023-08-19 21:23 32K 
[   ]fast_float-5.2.0-r1.apk2023-08-19 21:23 43K 
[   ]fastd-23-r0.apk2025-01-27 22:46 72K 
[   ]fastd-doc-23-r0.apk2025-01-27 22:46 3.0K 
[   ]fastd-openrc-23-r0.apk2025-01-27 22:46 1.4K 
[   ]fatback-1.3-r2.apk2022-10-25 15:04 24K 
[   ]fatback-doc-1.3-r2.apk2022-10-25 15:04 16K 
[   ]fathom-1.3.1-r9.apk2025-01-20 06:38 4.4M 
[   ]fatrace-0.17.0-r0.apk2022-11-14 22:31 9.1K 
[   ]fatrace-doc-0.17.0-r0.apk2022-11-14 22:31 3.0K 
[   ]fatresize-1.1.0-r1.apk2022-10-25 15:04 7.4K 
[   ]fatresize-doc-1.1.0-r1.apk2022-10-25 15:04 15K 
[   ]faultstat-0.01.11-r0.apk2024-01-13 13:45 12K 
[   ]faultstat-bash-completion-0.01.11-r0.apk2024-01-13 13:45 2.0K 
[   ]faultstat-doc-0.01.11-r0.apk2024-01-13 13:45 2.8K 
[   ]faust-2.60.3-r2.apk2023-06-29 15:08 8.3M 
[   ]faust-dev-2.60.3-r2.apk2023-06-29 15:08 770K 
[   ]faust-doc-2.60.3-r2.apk2023-06-29 15:08 17M 
[   ]faust-static-2.60.3-r2.apk2023-06-29 15:08 970K 
[   ]faust-tools-2.60.3-r2.apk2023-06-29 15:08 118K 
[   ]faust-vim-2.60.3-r2.apk2023-06-29 15:08 2.4K 
[   ]fava-1.28-r0.apk2024-07-23 03:14 1.1M 
[   ]fava-pyc-1.28-r0.apk2024-07-23 03:14 164K 
[   ]fbcur-1.0.1-r1.apk2024-10-23 15:31 4.1K 
[   ]fbcur-doc-1.0.1-r1.apk2024-10-23 15:31 1.9K 
[   ]fbvnc-0_git20220812-r0.apk2024-08-07 03:50 9.1K 
[   ]fceux-2.6.6-r2.apk2024-05-26 14:29 2.9M 
[   ]fceux-doc-2.6.6-r2.apk2024-05-26 14:29 106K 
[   ]fdm-materials-5.2.2-r1.apk2024-06-17 10:19 59K 
[   ]featherpad-1.5.1-r0.apk2024-05-10 02:50 680K 
[   ]featherpad-lang-1.5.1-r0.apk2024-05-10 02:50 462K 
[   ]ff2mpv-rust-1.1.5-r0.apk2025-01-05 00:02 205K 
[   ]ff2mpv-rust-doc-1.1.5-r0.apk2025-01-05 00:02 13K 
[   ]fff-2.2-r0.apk2022-06-15 22:50 11K 
[   ]fff-doc-2.2-r0.apk2022-06-15 22:50 9.0K 
[   ]fflas-ffpack-2.5.0-r3.apk2023-08-19 21:23 345K 
[   ]ffms2-5.0-r0.apk2024-10-07 18:57 71K 
[   ]ffms2-dev-5.0-r0.apk2024-10-07 18:57 7.4K 
[   ]ffms2-doc-5.0-r0.apk2024-10-07 18:57 30K 
[   ]fheroes2-1.1.5-r0.apk2025-01-03 18:12 1.6M 
[   ]fheroes2-lang-1.1.5-r0.apk2025-01-03 18:12 1.7M 
[   ]fildesh-0.2.0-r0.apk2024-09-30 14:42 68K 
[   ]fildesh-doc-0.2.0-r0.apk2024-09-30 14:42 1.8K 
[   ]fildesh-vim-0.2.0-r0.apk2024-09-30 14:42 3.3K 
[   ]filebrowser-2.27.0-r7.apk2025-01-20 06:38 6.9M 
[   ]filebrowser-openrc-2.27.0-r7.apk2025-01-20 06:38 1.5K 
[   ]fileshelter-6.2.0-r2.apk2024-12-07 01:53 314K 
[   ]fileshelter-openrc-6.2.0-r2.apk2024-12-07 01:53 1.4K 
[   ]findtow-0.1-r0.apk2022-01-27 02:33 4.3K 
[   ]finger-0.5-r0.apk2024-03-09 10:12 6.3K 
[   ]finger-doc-0.5-r0.apk2024-03-09 10:12 3.5K 
[   ]firehol-3.1.7-r2.apk2023-05-21 14:09 84K 
[   ]firehol-doc-3.1.7-r2.apk2023-05-21 14:09 674K 
[   ]firehol-openrc-3.1.7-r2.apk2023-05-21 14:09 1.8K 
[   ]flann-1.9.2-r0.apk2024-07-19 12:50 1.8M 
[   ]flann-dev-1.9.2-r0.apk2024-07-19 12:50 2.7M 
[   ]flann-doc-1.9.2-r0.apk2024-07-19 12:50 2.3K 
[   ]flare-engine-1.14-r0.apk2023-01-26 20:34 4.4M 
[   ]flare-engine-doc-1.14-r0.apk2023-01-26 20:34 2.2K 
[   ]flare-game-1.14-r0.apk2023-01-26 20:34 1.9K 
[   ]flatpak-xdg-utils-1.0.6-r0.apk2024-08-31 05:27 18K 
[   ]flauschige-uhr-0.1-r1.apk2022-10-25 15:04 3.7K 
[   ]flawz-0.3.0-r0.apk2024-11-03 22:58 1.2M 
[   ]flawz-bash-completion-0.3.0-r0.apk2024-11-03 22:58 1.9K 
[   ]flawz-doc-0.3.0-r0.apk2024-11-03 22:58 5.7K 
[   ]flawz-fish-completion-0.3.0-r0.apk2024-11-03 22:58 1.6K 
[   ]flawz-zsh-completion-0.3.0-r0.apk2024-11-03 22:58 2.0K 
[   ]flint-2.9.0-r2.apk2024-08-29 12:07 5.4M 
[   ]flint-dev-2.9.0-r2.apk2024-08-29 12:07 311K 
[   ]flintqs-1.0-r1.apk2023-08-19 21:23 22K 
[   ]flowd-0.9.1-r10.apk2024-06-10 13:55 85K 
[   ]flowd-dev-0.9.1-r10.apk2024-06-10 13:55 8.0K 
[   ]flowd-doc-0.9.1-r10.apk2024-06-10 13:55 9.8K 
[   ]flowd-openrc-0.9.1-r10.apk2024-06-10 13:55 1.6K 
[   ]fnf-0.1-r0.apk2024-03-09 08:45 16K 
[   ]fnf-doc-0.1-r0.apk2024-03-09 08:45 4.3K 
[   ]foma-0.10.0_git20240712-r0.apk2024-09-28 05:57 326K 
[   ]foma-dev-0.10.0_git20240712-r0.apk2024-09-28 05:57 8.2K 
[   ]font-anonymous-pro-1.002-r2.apk2022-10-13 06:22 264K 
[   ]font-babelstone-han-15.1.3-r0.apk2024-01-10 23:28 18M 
[   ]font-chivo-0_git20221110-r0.apk2022-12-11 11:47 792K 
[   ]font-chivo-mono-0_git20221110-r0.apk2022-12-11 11:47 626K 
[   ]font-comic-neue-2.51-r0.apk2021-07-27 10:10 249K 
[   ]font-comic-neue-doc-2.51-r0.apk2021-07-27 10:10 1.0M 
[   ]font-commit-mono-1.143-r0.apk2023-12-31 16:48 251K 
[   ]font-cousine-0_git20210228-r0.apk2024-03-03 08:17 110K 
[   ]font-fantasque-sans-1.8.0-r0.apk2021-07-27 10:10 1.2K 
[   ]font-fantasque-sans-doc-1.8.0-r0.apk2021-07-27 10:10 5.5K 
[   ]font-fantasque-sans-largelineheight-1.8.0-r0.apk2021-07-27 10:10 316K 
[   ]font-fantasque-sans-largelineheightnoloopk-1.8.0-r0.apk2021-07-27 10:10 316K 
[   ]font-fantasque-sans-noloopk-1.8.0-r0.apk2021-07-27 10:10 316K 
[   ]font-fantasque-sans-normal-1.8.0-r0.apk2021-07-27 10:10 316K 
[   ]font-fira-4.202-r0.apk2021-12-05 09:37 1.2K 
[   ]font-fira-code-6.2-r0.apk2022-10-07 08:49 836K 
[   ]font-fira-code-vf-6.2-r0.apk2022-10-07 08:49 145K 
[   ]font-fira-otf-4.202-r0.apk2021-12-05 09:37 7.4M 
[   ]font-fira-ttf-4.202-r0.apk2021-12-05 09:37 6.2M 
[   ]font-firamath-0.3.4-r0.apk2022-10-07 08:49 118K 
[   ]font-fontawesome-4-4.7.0-r3.apk2022-10-13 06:22 205K 
[   ]font-hanazono-20170904-r1.apk2024-01-06 23:25 29M 
[   ]font-intel-one-mono-1.3.0-r0.apk2023-09-18 01:29 281K 
[   ]font-katex-0.16.2-r0.apk2022-10-07 08:49 851K 
[   ]font-material-icons-4.0.0-r0.apk2023-02-26 20:50 652K 
[   ]font-monaspace-1.101-r0.apk2024-09-28 14:08 1.2K 
[   ]font-monaspace-argon-1.101-r0.apk2024-09-28 14:08 2.2M 
[   ]font-monaspace-krypton-1.101-r0.apk2024-09-28 14:08 2.1M 
[   ]font-monaspace-neon-1.101-r0.apk2024-09-28 14:08 2.1M 
[   ]font-monaspace-radon-1.101-r0.apk2024-09-28 14:08 2.7M 
[   ]font-monaspace-xenon-1.101-r0.apk2024-09-28 14:08 2.3M 
[   ]font-monocraft-4.0-r0.apk2024-08-16 03:55 676K 
[   ]font-openmoji-15.0.0-r0.apk2024-12-26 05:50 3.5M 
[   ]font-siji-20190218_git-r2.apk2022-10-13 06:22 24K 
[   ]font-stix-otf-2.13-r0.apk2024-03-03 08:17 2.0M 
[   ]font-stix-ttf-2.13-r0.apk2024-03-03 08:17 430K 
[   ]font-tamzen-1.11.5-r1.apk2022-10-13 06:22 62K 
[   ]font-teluguvijayam-20190525-r2.apk2024-08-17 00:32 3.7M 
[   ]font-tinos-0_git20210228-r0.apk2024-03-03 08:17 198K 
[   ]font-tiresias-0_git20200704-r0.apk2023-01-02 23:53 568K 
[   ]font-tiresias-doc-0_git20200704-r0.apk2023-01-02 23:53 58K 
[   ]foolsm-1.0.21-r0.apk2022-05-22 17:02 31K 
[   ]foolsm-doc-1.0.21-r0.apk2022-05-22 17:02 3.9K 
[   ]foolsm-openrc-1.0.21-r0.apk2022-05-22 17:02 1.5K 
[   ]forgejo-lts-7.0.12-r0.apk2025-01-21 21:48 41M 
[   ]forgejo-lts-openrc-7.0.12-r0.apk2025-01-21 21:48 1.5K 
[   ]fox-1.6.57-r0.apk2022-10-07 08:49 1.0M 
[   ]fox-adie-1.6.57-r0.apk2022-10-07 08:49 122K 
[   ]fox-calculator-1.6.57-r0.apk2022-10-07 08:49 32K 
[   ]fox-dev-1.6.57-r0.apk2022-10-07 08:49 2.7M 
[   ]fox-doc-1.6.57-r0.apk2022-10-07 08:49 2.0M 
[   ]fox-pathfinder-1.6.57-r0.apk2022-10-07 08:49 50K 
[   ]fox-shutterbug-1.6.57-r0.apk2022-10-07 08:49 20K 
[   ]fox-utils-1.6.57-r0.apk2022-10-07 08:49 6.1K 
[   ]fplll-5.5.0-r0.apk2024-11-17 06:00 46K 
[   ]fplll-dev-5.5.0-r0.apk2024-11-17 06:00 78K 
[   ]fplll-libs-5.5.0-r0.apk2024-11-17 06:00 6.4M 
[   ]fplll-static-5.5.0-r0.apk2024-11-17 06:00 13M 
[   ]fplll-strategies-5.5.0-r0.apk2024-11-17 06:00 1.7M 
[   ]fpp-0.9.5-r0.apk2022-03-01 20:44 29K 
[   ]fpp-doc-0.9.5-r0.apk2022-03-01 20:44 5.6K 
[   ]fq-0.13.0-r1.apk2025-01-20 06:38 4.2M 
[   ]freealut-1.1.0-r1.apk2022-10-07 08:49 16K 
[   ]freealut-dev-1.1.0-r1.apk2022-10-07 08:49 27K 
[   ]freediameter-1.5.0-r1.apk2022-11-06 12:01 8.2K 
[   ]freediameter-dev-1.5.0-r1.apk2022-11-06 12:01 54K 
[   ]freediameter-extensions-1.5.0-r1.apk2022-11-06 12:01 357K 
[   ]freediameter-libfdcore-1.5.0-r1.apk2022-11-06 12:01 156K 
[   ]freediameter-libfdproto-1.5.0-r1.apk2022-11-06 12:01 82K 
[   ]frescobaldi-3.3.0-r1.apk2024-04-30 03:05 3.5M 
[   ]frescobaldi-doc-3.3.0-r1.apk2024-04-30 03:05 2.2K 
[   ]frescobaldi-pyc-3.3.0-r1.apk2024-04-30 03:05 1.2M 
[   ]freshrss-1.23.1-r1.apk2024-03-25 13:20 1.5M 
[   ]freshrss-doc-1.23.1-r1.apk2024-03-25 13:20 751K 
[   ]freshrss-lang-1.23.1-r1.apk2024-03-25 13:20 379K 
[   ]freshrss-mysql-1.23.1-r1.apk2024-03-25 13:20 1.2K 
[   ]freshrss-openrc-1.23.1-r1.apk2024-03-25 13:20 2.3K 
[   ]freshrss-pgsql-1.23.1-r1.apk2024-03-25 13:20 1.2K 
[   ]freshrss-sqlite-1.23.1-r1.apk2024-03-25 13:20 1.2K 
[   ]freshrss-themes-1.23.1-r1.apk2024-03-25 13:20 1.5M 
[   ]fungw-1.2.1-r0.apk2025-01-01 03:42 14K 
[   ]fungw-c-1.2.1-r0.apk2025-01-01 03:42 9.4K 
[   ]fungw-cli-1.2.1-r0.apk2025-01-01 03:42 36K 
[   ]fungw-dev-1.2.1-r0.apk2025-01-01 03:42 7.3K 
[   ]fungw-doc-1.2.1-r0.apk2025-01-01 03:42 13K 
[   ]fungw-duktape-1.2.1-r0.apk2025-01-01 03:42 25K 
[   ]fungw-fawk-1.2.1-r0.apk2025-01-01 03:42 210K 
[   ]fungw-lua-1.2.1-r0.apk2025-01-01 03:42 22K 
[   ]fungw-mujs-1.2.1-r0.apk2025-01-01 03:42 24K 
[   ]fungw-perl-1.2.1-r0.apk2025-01-01 03:42 69K 
[   ]fungw-python3-1.2.1-r0.apk2025-01-01 03:42 39K 
[   ]fungw-tcl-1.2.1-r0.apk2025-01-01 03:42 19K 
[   ]fusee-nano-0.5.3-r1.apk2024-09-30 14:42 20K 
[   ]fusee-nano-udev-0.5.3-r1.apk2024-09-30 14:42 1.4K 
[   ]fusesoc-2.3-r0.apk2024-07-23 03:14 46K 
[   ]fusesoc-pyc-2.3-r0.apk2024-07-23 03:14 89K 
[   ]fuzzylite-6.0-r2.apk2025-01-31 23:07 3.8K 
[   ]fuzzylite-dev-6.0-r2.apk2025-01-31 23:07 68K 
[   ]fuzzylite-doc-6.0-r2.apk2025-01-31 23:07 1.8K 
[   ]fuzzylite-libs-6.0-r2.apk2025-01-31 23:07 360K 
[   ]fxfloorboard-katana-mk2-20240515-r1.apk2024-07-10 05:12 5.6M 
[   ]fxfloorboard-katana-mk2-doc-20240515-r1.apk2024-07-10 05:12 1.1M 
[   ]fyi-1.0.4-r0.apk2024-09-07 13:52 9.1K 
[   ]fyi-bash-completion-1.0.4-r0.apk2024-09-07 13:52 1.5K 
[   ]fyi-doc-1.0.4-r0.apk2024-09-07 13:52 6.8K 
[   ]fyi-fish-completion-1.0.4-r0.apk2024-09-07 13:52 1.9K 
[   ]fzy-1.0-r3.apk2022-10-25 15:04 11K 
[   ]fzy-doc-1.0-r3.apk2022-10-25 15:04 2.5K 
[   ]gambit-4.9.5-r0.apk2024-01-21 18:36 11M 
[   ]gambit-dev-4.9.5-r0.apk2024-01-21 18:36 9.5M 
[   ]gambit-doc-4.9.5-r0.apk2024-01-21 18:36 4.1K 
[   ]game-devices-udev-0.23-r0.apk2024-11-12 12:30 5.9K 
[   ]gamemode-1.8.2-r0.apk2025-02-06 03:27 73K 
[   ]gamemode-dev-1.8.2-r0.apk2025-02-06 03:27 4.8K 
[   ]gamemode-doc-1.8.2-r0.apk2025-02-06 03:27 7.3K 
[   ]gamja-1.0.0_beta9-r0.apk2023-12-14 17:55 599K 
[   ]gamja-doc-1.0.0_beta9-r0.apk2023-12-14 17:55 2.0K 
[   ]gammastep-2.0.9-r3.apk2024-04-30 03:05 89K 
[   ]gammastep-doc-2.0.9-r3.apk2024-04-30 03:05 14K 
[   ]gammastep-lang-2.0.9-r3.apk2024-04-30 03:05 77K 
[   ]gammastep-pyc-2.0.9-r3.apk2024-04-30 03:05 17K 
[   ]gatling-0.16-r6.apk2024-09-24 20:24 143K 
[   ]gatling-doc-0.16-r6.apk2024-09-24 20:24 8.8K 
[   ]gatling-openrc-0.16-r6.apk2024-09-24 20:24 2.5K 
[   ]gaupol-1.12-r2.apk2024-04-30 03:05 276K 
[   ]gaupol-doc-1.12-r2.apk2024-04-30 03:05 2.1K 
[   ]gaupol-lang-1.12-r2.apk2024-04-30 03:05 276K 
[   ]gaupol-pyc-1.12-r2.apk2024-04-30 03:05 419K 
[   ]gb-0.4.4-r27.apk2025-01-20 06:38 6.3M 
[   ]gcli-2.6.1-r0.apk2025-01-26 18:29 124K 
[   ]gcli-doc-2.6.1-r0.apk2025-01-26 18:29 28K 
[   ]gdb-dashboard-0.17.3-r0.apk2024-12-26 05:50 22K 
[   ]gdb-dashboard-doc-0.17.3-r0.apk2024-12-26 05:50 2.8K 
[   ]gdcm-3.0.24-r0.apk2024-05-15 00:47 375K 
[   ]gdcm-dev-3.0.24-r0.apk2024-05-15 00:47 463K 
[   ]gdcm-doc-3.0.24-r0.apk2024-05-15 00:47 55K 
[   ]gearman-dev-1.1.21-r1.apk2024-04-30 03:05 1.9M 
[   ]gearman-libs-1.1.21-r1.apk2024-04-30 03:05 81K 
[   ]gearmand-1.1.21-r1.apk2024-04-30 03:05 174K 
[   ]gearmand-doc-1.1.21-r1.apk2024-04-30 03:05 189K 
[   ]gearmand-openrc-1.1.21-r1.apk2024-04-30 03:05 1.6K 
[   ]gede-2.18.2-r1.apk2023-12-19 22:12 286K 
[   ]genact-1.4.2-r0.apk2024-03-03 08:17 1.3M 
[   ]geoclue-stumbler-0.2.0-r0.apk2025-01-01 15:19 26K 
[   ]geodns-3.3.0-r9.apk2025-01-20 06:38 4.4M 
[   ]geodns-logs-3.3.0-r9.apk2025-01-20 06:38 4.0M 
[   ]geodns-openrc-3.3.0-r9.apk2025-01-20 06:38 1.5K 
[   ]geomyidae-0.34-r2.apk2022-10-25 15:04 13K 
[   ]geomyidae-doc-0.34-r2.apk2022-10-25 15:04 7.4K 
[   ]geomyidae-openrc-0.34-r2.apk2022-10-25 15:04 1.7K 
[   ]geonames-0.3.1-r2.apk2024-06-22 12:59 827K 
[   ]geonames-dev-0.3.1-r2.apk2024-06-22 12:59 2.8K 
[   ]geonames-doc-0.3.1-r2.apk2024-06-22 12:59 12K 
[   ]geonames-lang-0.3.1-r2.apk2024-06-22 12:59 4.6M 
[   ]getmail6-6.19.07-r0.apk2025-01-26 16:48 71K 
[   ]getmail6-doc-6.19.07-r0.apk2025-01-26 16:48 137K 
[   ]getmail6-pyc-6.19.07-r0.apk2025-01-26 16:48 105K 
[   ]getssl-2.48-r0.apk2024-03-03 08:17 82K 
[   ]getting-things-gnome-0.6-r4.apk2024-12-09 01:30 715K 
[   ]getting-things-gnome-doc-0.6-r4.apk2024-12-09 01:30 497K 
[   ]getting-things-gnome-lang-0.6-r4.apk2024-12-09 01:30 228K 
[   ]gf2x-1.3.0-r1.apk2024-08-29 12:07 39K 
[   ]gf2x-dev-1.3.0-r1.apk2024-08-29 12:07 87K 
[   ]gfan-0.6.2-r1.apk2023-08-19 21:23 1.6M 
[   ]ghc-filesystem-1.5.14-r0.apk2024-06-25 13:42 38K 
[   ]ghostcloud-0.9.9.5-r2.apk2024-04-30 14:30 464K 
[   ]ghq-1.7.1-r1.apk2025-01-20 06:38 3.3M 
[   ]ghq-bash-completion-1.7.1-r1.apk2025-01-20 06:38 1.5K 
[   ]ghq-doc-1.7.1-r1.apk2025-01-20 06:38 5.1K 
[   ]ghq-fish-completion-1.7.1-r1.apk2025-01-20 06:38 2.2K 
[   ]ghq-zsh-completion-1.7.1-r1.apk2025-01-20 06:38 2.1K 
[   ]gimp-plugin-gmic-3.3.5-r1.apk2024-11-25 10:47 1.3M 
[   ]ginac-1.8.7-r1.apk2024-01-28 15:06 1.0M 
[   ]ginac-dev-1.8.7-r1.apk2024-01-28 15:06 70K 
[   ]ginac-doc-1.8.7-r1.apk2024-01-28 15:06 98K 
[   ]git-bug-0.8.0-r15.apk2025-01-20 06:38 8.7M 
[   ]git-bug-bash-completion-0.8.0-r15.apk2025-01-20 06:38 4.9K 
[   ]git-bug-doc-0.8.0-r15.apk2025-01-20 06:38 16K 
[   ]git-bug-fish-completion-0.8.0-r15.apk2025-01-20 06:38 3.6K 
[   ]git-bug-zsh-completion-0.8.0-r15.apk2025-01-20 06:38 3.7K 
[   ]git-extras-7.3.0-r0.apk2024-11-17 13:50 55K 
[   ]git-extras-bash-completion-7.3.0-r0.apk2024-11-17 13:50 2.6K 
[   ]git-extras-doc-7.3.0-r0.apk2024-11-17 13:50 63K 
[   ]git-graph-0.6.0-r0.apk2024-11-26 00:44 847K 
[   ]git-graph-doc-0.6.0-r0.apk2024-11-26 00:44 6.0K 
[   ]git-quick-stats-2.5.8-r0.apk2024-10-11 15:29 12K 
[   ]git-quick-stats-doc-2.5.8-r0.apk2024-10-11 15:29 2.6K 
[   ]git-revise-0.7.0-r5.apk2024-08-07 03:50 24K 
[   ]git-revise-doc-0.7.0-r5.apk2024-08-07 03:50 4.7K 
[   ]git-revise-pyc-0.7.0-r5.apk2024-08-07 03:50 42K 
[   ]git-secret-0.5.0-r0.apk2022-10-28 16:18 14K 
[   ]git-secret-doc-0.5.0-r0.apk2022-10-28 16:18 17K 
[   ]git2json-0.2.3-r8.apk2024-04-30 03:05 7.1K 
[   ]git2json-pyc-0.2.3-r8.apk2024-04-30 03:05 5.4K 
[   ]gkrellm-2.3.11-r0.apk2025-01-08 23:37 383K 
[   ]gkrellm-dev-2.3.11-r0.apk2025-01-08 23:37 16K 
[   ]gkrellm-doc-2.3.11-r0.apk2025-01-08 23:37 18K 
[   ]gkrellm-lang-2.3.11-r0.apk2025-01-08 23:37 379K 
[   ]gkrellm-server-2.3.11-r0.apk2025-01-08 23:37 51K 
[   ]glfw-wayland-3.3.8-r3.apk2023-07-06 19:21 65K 
[   ]glfw-wayland-dbg-3.3.8-r3.apk2023-07-06 19:21 193K 
[   ]glfw-wayland-dev-3.3.8-r3.apk2023-07-06 19:21 45K 
[   ]gliderlabs-sigil-0.11.0-r1.apk2025-01-20 06:38 2.9M 
[   ]gliderlabs-sigil-doc-0.11.0-r1.apk2025-01-20 06:38 2.1K 
[   ]glmark2-2023.01-r1.apk2024-07-12 05:34 8.0M 
[   ]glmark2-doc-2023.01-r1.apk2024-07-12 05:34 13K 
[   ]gloox-1.0.28-r0.apk2023-12-14 17:55 380K 
[   ]gloox-dev-1.0.28-r0.apk2023-12-14 17:55 1.6M 
[   ]glow-2.0.0-r1.apk2025-01-20 06:38 5.5M 
[   ]glow-bash-completion-2.0.0-r1.apk2025-01-20 06:38 4.7K 
[   ]glow-doc-2.0.0-r1.apk2025-01-20 06:38 2.9K 
[   ]glow-fish-completion-2.0.0-r1.apk2025-01-20 06:38 4.0K 
[   ]glow-zsh-completion-2.0.0-r1.apk2025-01-20 06:38 3.7K 
[   ]glslviewer-3.2.4-r1.apk2025-02-09 00:43 1.7M 
[   ]gmcapsule-0.9.7-r0.apk2025-01-08 20:13 36K 
[   ]gmcapsule-openrc-0.9.7-r0.apk2025-01-08 20:13 1.7K 
[   ]gmcapsule-pyc-0.9.7-r0.apk2025-01-08 20:13 60K 
[   ]gmenuharness-0.1.4-r1.apk2024-06-22 12:59 38K 
[   ]gmenuharness-dev-0.1.4-r1.apk2024-06-22 12:59 4.0K 
[   ]gmic-3.3.5-r1.apk2024-11-25 10:47 11M 
[   ]gmic-bash-completion-3.3.5-r1.apk2024-11-25 10:47 28K 
[   ]gmic-dev-3.3.5-r1.apk2024-11-25 10:47 7.4K 
[   ]gmic-doc-3.3.5-r1.apk2024-11-25 10:47 219K 
[   ]gmic-libs-3.3.5-r1.apk2024-11-25 10:47 4.2M 
[   ]gmic-qt-3.3.5-r1.apk2024-11-25 10:47 1.6M 
[   ]gmid-2.1.1-r0.apk2024-11-27 20:26 231K 
[   ]gmid-doc-2.1.1-r0.apk2024-11-27 20:26 14K 
[   ]gmid-openrc-2.1.1-r0.apk2024-11-27 20:26 1.9K 
[   ]gmsh-4.12.2-r2.apk2024-06-17 10:19 8.6M 
[   ]gmsh-dbg-4.12.2-r2.apk2024-06-17 10:19 113M 
[   ]gmsh-doc-4.12.2-r2.apk2024-06-17 10:19 1.9M 
[   ]gmsh-py-4.12.2-r2.apk2024-06-17 10:19 6.5K 
[   ]gnome-common-3.18.0-r3.apk2023-01-29 22:05 11K 
[   ]gnome-metronome-1.3.0-r0.apk2023-12-14 17:55 473K 
[   ]gnome-metronome-lang-1.3.0-r0.apk2023-12-14 17:55 24K 
[   ]gnome-user-share-47.0-r0.apk2024-10-18 19:50 13K 
[   ]gnome-user-share-lang-47.0-r0.apk2024-10-18 19:50 66K 
[   ]gnu-apl-1.9-r0.apk2024-07-10 05:12 1.3M 
[   ]gnu-apl-dev-1.9-r0.apk2024-07-10 05:12 893K 
[   ]gnu-apl-doc-1.9-r0.apk2024-07-10 05:12 1.6M 
[   ]gnucash-5.10-r0.apk2024-12-27 15:26 8.3M 
[   ]gnucash-dev-5.10-r0.apk2024-12-27 15:26 302K 
[   ]gnucash-doc-5.10-r0.apk2024-12-27 15:26 1.4M 
[   ]gnucash-lang-5.10-r0.apk2024-12-27 15:26 8.2M 
[   ]go-jsonnet-0.20.0-r10.apk2025-01-20 06:38 5.8M 
[   ]go-mtpfs-1.0.0-r23.apk2025-01-20 06:38 1.1M 
[   ]go-passbolt-cli-0.3.1-r4.apk2025-01-20 06:38 6.3M 
[   ]goawk-1.29.1-r1.apk2025-01-20 06:38 1.2M 
[   ]goawk-doc-1.29.1-r1.apk2025-01-20 06:38 44K 
[   ]gobuster-3.6.0-r8.apk2025-01-20 06:38 3.1M 
[   ]gomp-1.0.0-r8.apk2025-01-20 06:38 3.2M 
[   ]goomwwm-1.0.0-r5.apk2024-09-30 10:08 47K 
[   ]goreman-0.3.15-r9.apk2025-01-20 06:38 2.0M 
[   ]gortr-0.14.8-r9.apk2025-01-20 06:38 9.3M 
[   ]gortr-openrc-0.14.8-r9.apk2025-01-20 06:38 1.6K 
[   ]goshs-1.0.1-r1.apk2025-01-20 06:38 5.4M 
[   ]goshs-doc-1.0.1-r1.apk2025-01-20 06:38 1.9K 
[   ]gossip-0.13.0-r0.apk2024-12-27 17:06 28M 
[   ]gossip-doc-0.13.0-r0.apk2024-12-27 17:06 16K 
[   ]gost-2.12.0-r1.apk2025-01-20 06:38 5.1M 
[   ]gost-doc-2.12.0-r1.apk2025-01-20 06:38 1.9K 
[   ]gosu-1.17-r6.apk2025-01-20 06:38 1.0M 
[   ]gotestsum-1.12.0-r3.apk2025-01-20 06:38 2.2M 
[   ]gotify-cli-2.3.2-r1.apk2025-01-20 06:38 3.8M 
[   ]goxel-0.15.1-r0.apk2024-08-31 18:53 1.7M 
[   ]gpa-0.10.0-r2.apk2022-10-25 15:04 203K 
[   ]gpa-doc-0.10.0-r2.apk2022-10-25 15:04 2.6K 
[   ]gpg-remailer-3.04.07-r1.apk2024-07-10 05:12 48K 
[   ]gpg-remailer-doc-3.04.07-r1.apk2024-07-10 05:12 9.2K 
[   ]gpscorrelate-2.2-r0.apk2024-11-12 12:30 44K 
[   ]gpscorrelate-cli-2.2-r0.apk2024-11-12 12:30 22K 
[   ]gpscorrelate-doc-2.2-r0.apk2024-11-12 12:30 228K 
[   ]gpscorrelate-lang-2.2-r0.apk2024-11-12 12:30 17K 
[   ]granite7-7.5.0-r0.apk2024-10-13 23:46 119K 
[   ]granite7-dev-7.5.0-r0.apk2024-10-13 23:46 41K 
[   ]granite7-lang-7.5.0-r0.apk2024-10-13 23:46 52K 
[   ]greetd-mini-wl-greeter-0_git20230821-r0.apk2023-08-22 12:23 19K 
[   ]greetd-mini-wl-greeter-bash-completion-0_git20230821-r0.apk2023-08-22 12:23 1.9K 
[   ]greetd-mini-wl-greeter-doc-0_git20230821-r0.apk2023-08-22 12:23 3.0K 
[   ]grip-4.2.4-r0.apk2023-01-26 20:34 375K 
[   ]grip-doc-4.2.4-r0.apk2023-01-26 20:34 5.9K 
[   ]grip-lang-4.2.4-r0.apk2023-01-26 20:34 144K 
[   ]grlx-1.0.5-r1.apk2025-01-20 06:38 14M 
[   ]grlx-farmer-1.0.5-r1.apk2025-01-20 06:38 13M 
[   ]grlx-farmer-openrc-1.0.5-r1.apk2025-01-20 06:38 1.3K 
[   ]grlx-sprout-1.0.5-r1.apk2025-01-20 06:38 6.5M 
[   ]grlx-sprout-openrc-1.0.5-r1.apk2025-01-20 06:38 1.3K 
[   ]gron-0.7.1-r20.apk2025-01-20 06:38 2.2M 
[   ]grpcui-1.4.2-r1.apk2025-01-20 06:38 8.0M 
[   ]grpcurl-1.9.2-r1.apk2025-01-20 06:38 7.6M 
[   ]gsettings-qt-0.2_git20220807-r1.apk2024-06-22 12:59 46K 
[   ]gsettings-qt-dev-0.2_git20220807-r1.apk2024-06-22 12:59 3.3K 
[   ]gsimplecal-2.5.1-r0.apk2024-03-03 08:17 16K 
[   ]gsimplecal-doc-2.5.1-r0.apk2024-03-03 08:17 5.5K 
[   ]gssdp-1.6.3-r0.apk2024-12-01 14:17 45K 
[   ]gssdp-dev-1.6.3-r0.apk2024-12-01 14:17 15K 
[   ]gst-rtsp-server-1.24.11-r0.apk2025-01-25 18:33 223K 
[   ]gst-rtsp-server-dev-1.24.11-r0.apk2025-01-25 18:33 93K 
[   ]gstreamermm-1.10.0-r4.apk2022-10-25 15:04 480K 
[   ]gstreamermm-dev-1.10.0-r4.apk2022-10-25 15:04 320K 
[   ]gtk-session-lock-0.2.0-r0.apk2025-01-31 17:18 35K 
[   ]gtk-session-lock-dev-0.2.0-r0.apk2025-01-31 17:18 5.0K 
[   ]gtk4-layer-shell-1.1.0-r0.apk2025-01-30 19:12 34K 
[   ]gtk4-layer-shell-demo-1.1.0-r0.apk2025-01-30 19:12 9.9K 
[   ]gtk4-layer-shell-dev-1.1.0-r0.apk2025-01-30 19:12 12K 
[   ]gtk4-layer-shell-doc-1.1.0-r0.apk2025-01-30 19:12 2.0K 
[   ]gtklock-4.0.0-r0.apk2025-01-31 17:18 18K 
[   ]gtklock-doc-4.0.0-r0.apk2025-01-31 17:18 2.7K 
[   ]gtkwave-3.3.120-r0.apk2024-09-01 19:05 2.6M 
[   ]gtkwave-doc-3.3.120-r0.apk2024-09-01 19:05 27K 
[   ]guake-3.10-r1.apk2024-04-30 03:05 305K 
[   ]guake-lang-3.10-r1.apk2024-04-30 03:05 188K 
[   ]guake-pyc-3.10-r1.apk2024-04-30 03:05 186K 
[   ]guetzli-0_git20191025-r1.apk2022-10-25 15:04 127K 
[   ]guetzli-dev-0_git20191025-r1.apk2022-10-25 15:04 3.2M 
[   ]gufw-24.04-r3.apk2024-11-20 01:38 596K 
[   ]gufw-doc-24.04-r3.apk2024-11-20 01:38 4.2K 
[   ]gufw-lang-24.04-r3.apk2024-11-20 01:38 854K 
[   ]gufw-pyc-24.04-r3.apk2024-11-20 01:38 64K 
[   ]gupnp-1.6.8-r0.apk2025-01-20 15:49 88K 
[   ]gupnp-av-0.14.3-r0.apk2025-01-16 00:33 78K 
[   ]gupnp-av-dev-0.14.3-r0.apk2025-01-16 00:33 41K 
[   ]gupnp-dev-1.6.8-r0.apk2025-01-20 15:49 50K 
[   ]gupnp-dlna-0.12.0-r0.apk2024-12-01 14:17 65K 
[   ]gupnp-dlna-dev-0.12.0-r0.apk2024-12-01 14:17 24K 
[   ]gupnp-doc-1.6.8-r0.apk2025-01-20 15:49 3.5K 
[   ]gutenprint-5.3.4-r5.apk2024-08-19 00:11 812K 
[   ]gutenprint-cups-5.3.4-r5.apk2024-08-19 00:11 49M 
[   ]gutenprint-dev-5.3.4-r5.apk2024-08-19 00:11 35K 
[   ]gutenprint-doc-5.3.4-r5.apk2024-08-19 00:11 8.1K 
[   ]gutenprint-lang-5.3.4-r5.apk2024-08-19 00:11 1.9M 
[   ]gutenprint-libs-5.3.4-r5.apk2024-08-19 00:11 550K 
[   ]gutenprint-samples-5.3.4-r5.apk2024-08-19 00:11 637K 
[   ]gutenprint-static-5.3.4-r5.apk2024-08-19 00:11 1.4M 
[   ]gx-0.14.3-r26.apk2025-01-20 06:38 4.3M 
[   ]gx-doc-0.14.3-r26.apk2025-01-20 06:38 2.0K 
[   ]gxlimg-0_git20240711-r0.apk2025-01-21 21:48 22K 
[   ]halp-0.2.0-r0.apk2024-08-31 17:05 874K 
[   ]halp-bash-completion-0.2.0-r0.apk2024-08-31 17:05 1.9K 
[   ]halp-doc-0.2.0-r0.apk2024-08-31 17:05 6.6K 
[   ]halp-fish-completion-0.2.0-r0.apk2024-08-31 17:05 1.7K 
[   ]halp-zsh-completion-0.2.0-r0.apk2024-08-31 17:05 2.1K 
[   ]hamster-time-tracker-3.0.3-r2.apk2024-04-30 03:05 156K 
[   ]hamster-time-tracker-bash-completion-3.0.3-r2.apk2024-04-30 03:05 1.7K 
[   ]hamster-time-tracker-doc-3.0.3-r2.apk2024-04-30 03:05 116K 
[   ]hamster-time-tracker-lang-3.0.3-r2.apk2024-04-30 03:05 205K 
[   ]hamster-time-tracker-pyc-3.0.3-r2.apk2024-04-30 03:05 358K 
[   ]handlebars-1.0.0-r1.apk2023-05-21 14:09 103K 
[   ]handlebars-dev-1.0.0-r1.apk2023-05-21 14:09 32K 
[   ]handlebars-utils-1.0.0-r1.apk2023-05-21 14:09 9.4K 
[   ]haproxy-dataplaneapi-3.0.1-r1.apk2025-01-20 06:38 11M 
[   ]haproxy-dataplaneapi-openrc-3.0.1-r1.apk2025-01-20 06:38 1.8K 
[   ]hare-compress-0_git20240623-r1.apk2024-11-29 13:38 413K 
[   ]hare-http-0_git20240419-r0.apk2024-11-29 14:20 12K 
[   ]hare-madeline-0.1_git20240505-r1.apk2024-11-29 13:38 24K 
[   ]hare-madeline-doc-0.1_git20240505-r1.apk2024-11-29 13:38 1.9K 
[   ]hare-message-0_git20240425-r0.apk2024-11-29 14:20 8.2K 
[   ]hare-scfg-0.24.2-r1.apk2024-11-29 13:38 3.7K 
[   ]hare-unicode-0_git20240417-r1.apk2024-11-29 13:38 24K 
[   ]hare-wayland-0_git20240817-r1.apk2024-11-29 13:38 214K 
[   ]haredo-1.0.5-r1.apk2024-11-29 13:38 149K 
[   ]haredo-doc-1.0.5-r1.apk2024-11-29 13:38 4.4K 
[   ]hari-0_git20240715-r0.apk2024-08-29 12:07 22K 
[   ]harminv-1.4.2-r1.apk2023-12-14 17:55 7.2K 
[   ]harminv-dev-1.4.2-r1.apk2023-12-14 17:55 2.9K 
[   ]harminv-doc-1.4.2-r1.apk2023-12-14 17:55 5.4K 
[   ]harminv-libs-1.4.2-r1.apk2023-12-14 17:55 25K 
[   ]hashcat-6.2.6-r0.apk2022-10-07 08:49 55M 
[   ]hashcat-doc-6.2.6-r0.apk2022-10-07 08:49 2.1M 
[   ]hatop-0.8.2-r0.apk2022-10-07 08:49 18K 
[   ]hatop-doc-0.8.2-r0.apk2022-10-07 08:49 2.7K 
[   ]hctl-0.2.6-r0.apk2024-03-04 23:39 1.2M 
[   ]hddfancontrol-1.6.2-r0.apk2024-06-27 22:49 33K 
[   ]hddfancontrol-openrc-1.6.2-r0.apk2024-06-27 22:49 1.9K 
[   ]hddfancontrol-pyc-1.6.2-r0.apk2024-06-27 22:49 34K 
[   ]heh-0.6.1-r0.apk2024-08-29 12:07 466K 
[   ]heh-doc-0.6.1-r0.apk2024-08-29 12:07 3.8K 
[   ]heisenbridge-1.14.6-r0.apk2024-06-02 19:41 66K 
[   ]heisenbridge-pyc-1.14.6-r0.apk2024-06-02 19:41 152K 
[   ]helm-diff-3.9.13-r1.apk2025-01-20 06:38 20M 
[   ]helm-ls-0.0.12-r5.apk2025-01-20 06:38 10M 
[   ]helm-ls-doc-0.0.12-r5.apk2025-01-20 06:38 1.9K 
[   ]helm-mapkubeapis-0.5.2-r1.apk2025-01-20 06:38 19M 
[   ]helm-unittest-0.7.1-r1.apk2025-01-20 06:38 10M 
[   ]helmfile-0.170.1-r0.apk2025-02-06 03:27 45M 
[   ]helmfile-bash-completion-0.170.1-r0.apk2025-02-06 03:27 4.8K 
[   ]helmfile-doc-0.170.1-r0.apk2025-02-06 03:27 1.9K 
[   ]helmfile-fish-completion-0.170.1-r0.apk2025-02-06 03:27 4.0K 
[   ]helmfile-zsh-completion-0.170.1-r0.apk2025-02-06 03:27 3.7K 
[   ]herbe-1.0.0-r0.apk2022-10-07 08:49 5.0K 
[   ]hexdiff-0.0.53-r2.apk2022-10-25 15:04 13K 
[   ]hexdiff-doc-0.0.53-r2.apk2022-10-25 15:04 3.5K 
[   ]hexedit-1.6_git20230905-r0.apk2024-04-04 17:03 18K 
[   ]hexedit-doc-1.6_git20230905-r0.apk2024-04-04 17:03 5.3K 
[   ]hexer-1.4.0-r16.apk2024-11-08 03:22 58K 
[   ]hexer-dev-1.4.0-r16.apk2024-11-08 03:22 7.1K 
[   ]hfst-3.16.0-r2.apk2024-04-30 03:05 1.4M 
[   ]hfst-dev-3.16.0-r2.apk2024-04-30 03:05 212K 
[   ]hfst-doc-3.16.0-r2.apk2024-04-30 03:05 71K 
[   ]hfst-libs-3.16.0-r2.apk2024-04-30 03:05 1.7M 
[   ]hiawatha-11.6-r0.apk2024-06-15 09:34 190K 
[   ]hiawatha-doc-11.6-r0.apk2024-06-15 09:34 21K 
[   ]hiawatha-letsencrypt-11.6-r0.apk2024-06-15 09:34 17K 
[   ]hiawatha-openrc-11.6-r0.apk2024-06-15 09:34 1.4K 
[   ]hidrd-0.2.0_git20190603-r1.apk2022-10-25 15:04 60K 
[   ]hidrd-dev-0.2.0_git20190603-r1.apk2022-10-25 15:04 159K 
[   ]highctidh-1.0.2024092800-r0.apk2024-11-25 21:33 323K 
[   ]highctidh-dev-1.0.2024092800-r0.apk2024-11-25 21:33 477K 
[   ]highfive-2.10.1-r0.apk2025-01-15 09:44 75K 
[   ]hikari-2.3.3-r6.apk2024-02-02 22:16 945K 
[   ]hikari-doc-2.3.3-r6.apk2024-02-02 22:16 13K 
[   ]hikari-unlocker-2.3.3-r6.apk2024-02-02 22:16 3.7K 
[   ]hilbish-2.3.4-r1.apk2025-01-20 06:38 3.2M 
[   ]hilbish-doc-2.3.4-r1.apk2025-01-20 06:38 25K 
[   ]himitsu-firefox-0.6-r1.apk2024-11-29 13:38 198K 
[   ]himitsu-git-0.3.0-r0.apk2024-09-10 23:54 125K 
[   ]hiprompt-gtk-py-0.8.0-r0.apk2024-08-07 03:50 8.0K 
[   ]hitide-0.15.0-r0.apk2024-03-29 03:20 1.9M 
[   ]hitide-openrc-0.15.0-r0.apk2024-03-29 03:20 1.9K 
[   ]homebank-5.8.6-r0.apk2025-01-06 00:18 1.9M 
[   ]homebank-lang-5.8.6-r0.apk2025-01-06 00:18 920K 
[   ]hopalong-0.1-r3.apk2022-10-18 05:05 19K 
[   ]horizon-0.9.6-r9.apk2024-04-30 03:05 208K 
[   ]horizon-dbg-0.9.6-r9.apk2024-04-30 03:05 3.4M 
[   ]horizon-dev-0.9.6-r9.apk2024-04-30 03:05 4.6K 
[   ]horizon-doc-0.9.6-r9.apk2024-04-30 03:05 21K 
[   ]horizon-image-0.9.6-r9.apk2024-04-30 03:05 68K 
[   ]horizon-tools-0.9.6-r9.apk2024-04-30 03:05 82K 
[   ]howard-bc-7.0.3-r0.apk2024-09-25 05:25 70K 
[   ]howard-bc-doc-7.0.3-r0.apk2024-09-25 05:25 38K 
[   ]hping3-20051105-r4.apk2021-07-27 10:11 68K 
[   ]hping3-doc-20051105-r4.apk2021-07-27 10:11 17K 
[   ]hpnssh-18.4.1-r0.apk2024-05-07 23:44 2.2M 
[   ]hpnssh-doc-18.4.1-r0.apk2024-05-07 23:44 98K 
[   ]hsetroot-1.0.5-r1.apk2022-10-25 15:04 9.0K 
[   ]htmlcxx-0.87-r1.apk2022-10-14 21:59 60K 
[   ]htmlcxx-dev-0.87-r1.apk2022-10-14 21:59 20K 
[   ]htmldoc-1.9.20-r0.apk2024-12-11 01:14 2.3M 
[   ]htmldoc-doc-1.9.20-r0.apk2024-12-11 01:14 98K 
[   ]htslib-1.19-r0.apk2023-12-14 17:55 386K 
[   ]htslib-dev-1.19-r0.apk2023-12-14 17:55 115K 
[   ]htslib-doc-1.19-r0.apk2023-12-14 17:55 22K 
[   ]htslib-static-1.19-r0.apk2023-12-14 17:55 873K 
[   ]htslib-tools-1.19-r0.apk2023-12-14 17:55 1.2M 
[   ]httpie-oauth-1.0.2-r9.apk2024-04-30 03:05 3.1K 
[   ]httpie-oauth-pyc-1.0.2-r9.apk2024-04-30 03:05 2.0K 
[   ]httpx-1.6.10-r0.apk2025-01-28 23:32 13M 
[   ]httpx-doc-1.6.10-r0.apk2025-01-28 23:32 2.0K 
[   ]httrack-3.49.2-r5.apk2023-05-21 14:09 761K 
[   ]httrack-doc-3.49.2-r5.apk2023-05-21 14:09 528K 
[   ]hub-2.14.2-r27.apk2025-01-20 06:38 2.6M 
[   ]hub-bash-completion-2.14.2-r27.apk2025-01-20 06:38 4.3K 
[   ]hub-doc-2.14.2-r27.apk2025-01-20 06:38 42K 
[   ]hub-fish-completion-2.14.2-r27.apk2025-01-20 06:38 3.0K 
[   ]hub-zsh-completion-2.14.2-r27.apk2025-01-20 06:38 3.4K 
[   ]hubble-cli-0.13.6-r1.apk2025-01-20 06:38 17M 
[   ]hubble-cli-bash-completion-0.13.6-r1.apk2025-01-20 06:38 4.8K 
[   ]hubble-cli-fish-completion-0.13.6-r1.apk2025-01-20 06:38 4.0K 
[   ]hubble-cli-zsh-completion-0.13.6-r1.apk2025-01-20 06:38 3.7K 
[   ]hunspell-ca-es-3.0.7-r0.apk2022-12-04 13:25 731K 
[   ]hunspell-es-ar-2.7-r0.apk2023-06-06 01:44 226K 
[   ]hunspell-es-ar-doc-2.7-r0.apk2023-06-06 01:44 2.6K 
[   ]hurl-6.0.0-r0.apk2024-12-07 21:54 1.8M 
[   ]hurl-bash-completion-6.0.0-r0.apk2024-12-07 21:54 1.9K 
[   ]hurl-doc-6.0.0-r0.apk2024-12-07 21:54 8.4K 
[   ]hurl-fish-completion-6.0.0-r0.apk2024-12-07 21:54 3.2K 
[   ]hurl-zsh-completion-6.0.0-r0.apk2024-12-07 21:54 3.6K 
[   ]hurlfmt-6.0.0-r0.apk2024-12-07 21:54 853K 
[   ]hw-probe-1.6.5-r2.apk2024-06-10 13:55 117K 
[   ]hwatch-0.3.11-r0.apk2024-03-25 13:20 968K 
[   ]hwatch-doc-0.3.11-r0.apk2024-03-25 13:20 2.8K 
[   ]hwatch-fish-completion-0.3.11-r0.apk2024-03-25 13:20 1.6K 
[   ]hwatch-zsh-completion-0.3.11-r0.apk2024-03-25 13:20 1.7K 
[   ]hx-1.0.15-r0.apk2024-08-07 03:50 14K 
[   ]hx-doc-1.0.15-r0.apk2024-08-07 03:50 4.5K 
[   ]hy-1.0.0-r0.apk2024-09-25 19:35 85K 
[   ]hy-pyc-1.0.0-r0.apk2024-09-25 19:35 169K 
[   ]hyfetch-1.99.0-r1.apk2024-10-24 08:22 433K 
[   ]hyfetch-bash-completion-1.99.0-r1.apk2024-10-24 08:22 3.0K 
[   ]hyfetch-doc-1.99.0-r1.apk2024-10-24 08:22 16K 
[   ]hyfetch-pyc-1.99.0-r1.apk2024-10-24 08:22 180K 
[   ]hyfetch-zsh-completion-1.99.0-r1.apk2024-10-24 08:22 2.3K 
[   ]hypnotix-3.5-r0.apk2023-07-18 03:26 110K 
[   ]hypnotix-lang-3.5-r0.apk2023-07-18 03:26 72K 
[   ]hyx-2024.02.29-r0.apk2024-09-26 13:19 17K 
[   ]hyx-doc-2024.02.29-r0.apk2024-09-26 13:19 2.0K 
[   ]i2util-4.2.1-r1.apk2022-10-25 15:04 16K 
[   ]i2util-dev-4.2.1-r1.apk2022-10-25 15:04 67K 
[   ]i2util-doc-4.2.1-r1.apk2022-10-25 15:04 4.5K 
[   ]i3status-rust-0.33.2-r0.apk2024-11-11 04:51 4.7M 
[   ]i3status-rust-doc-0.33.2-r0.apk2024-11-11 04:51 33K 
[   ]icesprog-0_git20240108-r1.apk2024-09-30 14:42 9.2K 
[   ]icesprog-udev-0_git20240108-r1.apk2024-09-30 14:42 1.6K 
[   ]icestorm-0_git20240517-r0.apk2024-06-22 12:59 17M 
[   ]icingaweb2-module-businessprocess-2.4.0-r0.apk2022-10-07 08:49 116K 
[   ]icingaweb2-module-businessprocess-doc-2.4.0-r0.apk2022-10-07 08:49 2.2M 
[   ]icingaweb2-module-fileshipper-1.2.0-r3.apk2023-12-14 17:55 11K 
[   ]icingaweb2-module-fileshipper-doc-1.2.0-r3.apk2023-12-14 17:55 231K 
[   ]icingaweb2-module-generictts-2.1.0-r0.apk2023-01-12 17:30 6.3K 
[   ]icingaweb2-module-generictts-doc-2.1.0-r0.apk2023-01-12 17:30 1.6K 
[   ]icingaweb2-module-pnp-1.1.0-r1.apk2021-07-27 10:11 8.9K 
[   ]icingaweb2-module-pnp-doc-1.1.0-r1.apk2021-07-27 10:11 1.6K 
[   ]icmake-9.03.01-r0.apk2023-10-06 18:00 120K 
[   ]icmake-doc-9.03.01-r0.apk2023-10-06 18:00 127K 
[   ]idesk-1-r1.apk2022-10-14 21:59 68K 
[   ]ideviceinstaller-1.1.1-r4.apk2024-10-30 23:46 13K 
[   ]ideviceinstaller-doc-1.1.1-r4.apk2024-10-30 23:46 2.2K 
[   ]idevicerestore-1.0.0-r4.apk2024-10-30 23:46 82K 
[   ]idevicerestore-doc-1.0.0-r4.apk2024-10-30 23:46 2.3K 
[   ]ifuse-1.1.4-r5.apk2024-10-30 23:46 9.3K 
[   ]ifuse-doc-1.1.4-r5.apk2024-10-30 23:46 2.1K 
[   ]igrep-1.2.0-r0.apk2023-08-19 21:23 1.6M 
[   ]igrep-doc-1.2.0-r0.apk2023-08-19 21:23 3.9K 
[   ]ijq-1.1.0-r4.apk2025-01-20 06:38 1.3M 
[   ]ijq-doc-1.1.0-r4.apk2025-01-20 06:38 3.2K 
[   ]imapfilter-2.8.2-r0.apk2023-12-31 20:40 40K 
[   ]imapfilter-doc-2.8.2-r0.apk2023-12-31 20:40 13K 
[   ]imediff-2.6-r1.apk2024-04-30 03:06 41K 
[   ]imediff-doc-2.6-r1.apk2024-04-30 03:06 6.2K 
[   ]imediff-pyc-2.6-r1.apk2024-04-30 03:06 43K 
[   ]imgdiff-1.0.2-r22.apk2025-01-20 06:38 940K 
[   ]imgdiff-doc-1.0.2-r22.apk2025-01-20 06:38 1.9K 
[   ]imrsh-0_git20210320-r1.apk2022-10-25 15:04 7.5K 
[   ]imrsh-dbg-0_git20210320-r1.apk2022-10-25 15:04 20K 
[   ]initify-0_git20171210-r1.apk2022-10-25 15:04 3.0K 
[   ]innernet-1.6.1-r0.apk2024-03-03 08:17 2.7M 
[   ]innernet-bash-completion-1.6.1-r0.apk2024-03-03 08:17 3.6K 
[   ]innernet-doc-1.6.1-r0.apk2024-03-03 08:17 8.8K 
[   ]innernet-fish-completion-1.6.1-r0.apk2024-03-03 08:17 4.3K 
[   ]innernet-openrc-1.6.1-r0.apk2024-03-03 08:17 2.0K 
[   ]innernet-zsh-completion-1.6.1-r0.apk2024-03-03 08:17 5.2K 
[   ]interception-tools-0.6.8-r2.apk2024-04-30 03:06 109K 
[   ]interception-tools-openrc-0.6.8-r2.apk2024-04-30 03:06 1.4K 
[   ]invidtui-0.4.6-r1.apk2025-01-20 06:38 3.6M 
[   ]ip2location-8.6.1-r0.apk2023-06-07 19:29 23K 
[   ]ip2location-dev-8.6.1-r0.apk2023-06-07 19:29 20K 
[   ]ip2location-doc-8.6.1-r0.apk2023-06-07 19:29 2.4K 
[   ]iprange-1.0.4-r1.apk2022-10-25 15:04 19K 
[   ]iprange-doc-1.0.4-r1.apk2022-10-25 15:04 4.3K 
[   ]irccd-4.0.3-r0.apk2023-07-30 14:12 269K 
[   ]irccd-dev-4.0.3-r0.apk2023-07-30 14:12 9.4K 
[   ]irccd-doc-4.0.3-r0.apk2023-07-30 14:12 80K 
[   ]irccd-openrc-4.0.3-r0.apk2023-07-30 14:12 1.6K 
[   ]ircd-hybrid-8.2.46-r0.apk2025-01-22 15:56 335K 
[   ]ircd-hybrid-doc-8.2.46-r0.apk2025-01-22 15:56 3.3K 
[   ]ircdog-0.5.4-r1.apk2025-01-20 06:38 2.1M 
[   ]irctk-1.1.0-r0.apk2024-10-19 11:19 29K 
[   ]irctk-doc-1.1.0-r0.apk2024-10-19 11:19 16K 
[   ]irctk-transport-fossil-1.1.0-r0.apk2024-10-19 11:19 15K 
[   ]isoinfo-0_git20131217-r1.apk2022-10-25 15:04 5.6K 
[   ]isomd5sum-1.2.3-r3.apk2024-07-10 19:46 19K 
[   ]isomd5sum-doc-1.2.3-r3.apk2024-07-10 19:46 2.7K 
[   ]it87-src-1_p20240609-r0.apk2024-06-27 15:58 29K 
[   ]itd-1.1.0-r9.apk2025-01-20 06:38 8.7M 
[   ]iwasm-2.2.0-r0.apk2024-12-05 16:51 1.3K 
[   ]iwasm-gc-2.2.0-r0.apk2024-12-05 16:51 211K 
[   ]jack_capture-0.9.73_git20210429-r2.apk2022-07-04 16:24 30K 
[   ]jackal-0.64.0-r11.apk2025-01-20 06:38 11M 
[   ]jackal-openrc-0.64.0-r11.apk2025-01-20 06:38 1.5K 
[   ]jackdaw-0.3.1-r1.apk2024-04-30 03:06 2.0M 
[   ]jackdaw-pyc-0.3.1-r1.apk2024-04-30 03:06 370K 
[   ]jadx-1.5.1-r0.apk2025-01-16 13:32 111M 
[   ]jadx-doc-1.5.1-r0.apk2025-01-16 13:32 5.2K 
[   ]jalv-1.6.8-r1.apk2023-07-30 14:12 51K 
[   ]jalv-doc-1.6.8-r1.apk2023-07-30 14:12 2.9K 
[   ]jalv-gtk-1.6.8-r1.apk2023-07-30 14:12 33K 
[   ]jaq-2.1.0-r0.apk2025-02-06 03:27 615K 
[   ]jaq-doc-2.1.0-r0.apk2025-02-06 03:27 1.9K 
[   ]java-asmtools-8.0.09-r0.apk2024-06-04 09:45 574K 
[   ]java-asmtools-doc-8.0.09-r0.apk2024-06-04 09:45 6.6K 
[   ]jbigkit-2.1-r2.apk2022-10-25 15:04 62K 
[   ]jbigkit-dev-2.1-r2.apk2022-10-25 15:04 48K 
[   ]jbigkit-doc-2.1-r2.apk2022-10-25 15:04 7.1K 
[   ]jdebp-redo-1.4-r1.apk2022-06-11 20:38 91K 
[   ]jdebp-redo-doc-1.4-r1.apk2022-06-11 20:38 12K 
[   ]jdupes-1.28.0-r0.apk2024-08-07 03:50 28K 
[   ]jdupes-doc-1.28.0-r0.apk2024-08-07 03:50 8.7K 
[   ]jedi-language-server-0.43.1-r0.apk2025-01-04 03:14 26K 
[   ]jedi-language-server-pyc-0.43.1-r0.apk2025-01-04 03:14 37K 
[   ]jfrog-cli-2.45.0-r8.apk2025-01-20 06:38 8.7M 
[   ]jhead-3.08-r0.apk2023-07-23 15:59 34K 
[   ]jhead-doc-3.08-r0.apk2023-07-23 15:59 7.6K 
[   ]jotdown-0.4.0-r0.apk2024-05-19 12:16 207K 
[   ]jsmn-1.1.0-r2.apk2024-01-19 18:04 4.5K 
[   ]json2tsv-1.2-r0.apk2024-08-07 03:50 6.3K 
[   ]json2tsv-doc-1.2-r0.apk2024-08-07 03:50 5.0K 
[   ]json2tsv-jaq-1.2-r0.apk2024-08-07 03:50 1.6K 
[   ]json2tsv-jaq-doc-1.2-r0.apk2024-08-07 03:50 2.1K 
[   ]jsonnet-bundler-0.6.0-r1.apk2025-01-20 06:38 2.9M 
[   ]jsonnet-language-server-0.15.0-r0.apk2025-02-06 00:09 3.9M 
[   ]junit2html-31.0.2-r0.apk2024-08-07 03:50 16K 
[   ]junit2html-pyc-31.0.2-r0.apk2024-08-07 03:50 23K 
[   ]jwt-cli-6.2.0-r0.apk2024-12-14 20:05 800K 
[   ]k2-0_git20220807-r1.apk2023-08-19 21:23 106K 
[   ]k3sup-0.13.6-r1.apk2025-01-20 06:38 2.3M 
[   ]k3sup-bash-completion-0.13.6-r1.apk2025-01-20 06:38 4.7K 
[   ]k3sup-fish-completion-0.13.6-r1.apk2025-01-20 06:38 4.0K 
[   ]k3sup-zsh-completion-0.13.6-r1.apk2025-01-20 06:38 3.7K 
[   ]kabmat-2.7.0-r0.apk2023-05-07 10:41 58K 
[   ]kabmat-doc-2.7.0-r0.apk2023-05-07 10:41 3.3K 
[   ]kalker-2.2.1-r0.apk2024-10-19 22:23 656K 
[   ]kanidm-1.4.6-r0.apk2025-01-26 04:16 1.0K 
[   ]kanidm-bash-completion-1.4.6-r0.apk2025-01-26 04:16 23K 
[   ]kanidm-clients-1.4.6-r0.apk2025-01-26 04:16 3.3M 
[   ]kanidm-openrc-1.4.6-r0.apk2025-01-26 04:16 1.5K 
[   ]kanidm-server-1.4.6-r0.apk2025-01-26 04:16 13M 
[   ]kanidm-unixd-clients-1.4.6-r0.apk2025-01-26 04:16 8.3M 
[   ]kanidm-zsh-completion-1.4.6-r0.apk2025-01-26 04:16 31K 
[   ]kanister-tools-0.112.0-r1.apk2025-01-20 06:38 58M 
[   ]kanister-tools-bash-completion-0.112.0-r1.apk2025-01-20 06:38 5.2K 
[   ]kanister-tools-fish-completion-0.112.0-r1.apk2025-01-20 06:38 4.5K 
[   ]kanister-tools-zsh-completion-0.112.0-r1.apk2025-01-20 06:38 4.1K 
[   ]kannel-1.5.0-r11.apk2023-05-01 23:45 5.7M 
[   ]kannel-dev-1.5.0-r11.apk2023-05-01 23:45 1.5M 
[   ]kannel-doc-1.5.0-r11.apk2023-05-01 23:45 5.9K 
[   ]kapow-0.7.1-r9.apk2025-01-20 06:38 3.1M 
[   ]katana-1.1.0-r5.apk2025-01-20 06:38 13M 
[   ]katarakt-0.2-r1.apk2025-01-30 02:09 90K 
[   ]kdiskmark-3.1.4-r1.apk2023-12-14 17:55 157K 
[   ]kdiskmark-lang-3.1.4-r1.apk2023-12-14 17:55 26K 
[   ]keepassxc-browser-1.8.9-r0.apk2023-12-14 17:55 876K 
[   ]kerberoast-0.2.0-r1.apk2024-04-30 03:06 9.3K 
[   ]kerberoast-pyc-0.2.0-r1.apk2024-04-30 03:06 15K 
[   ]kew-3.0.3-r0.apk2025-01-31 16:09 324K 
[   ]kew-doc-3.0.3-r0.apk2025-01-31 16:09 3.2K 
[   ]keybase-client-6.2.8-r6.apk2025-01-20 06:38 17M 
[   ]keydb-6.3.4-r0.apk2024-05-26 14:29 1.1M 
[   ]keydb-benchmark-6.3.4-r0.apk2024-05-26 14:29 426K 
[   ]keydb-cli-6.3.4-r0.apk2024-05-26 14:29 389K 
[   ]keydb-openrc-6.3.4-r0.apk2024-05-26 14:29 2.4K 
[   ]kfc-0.1.4-r0.apk2023-06-03 04:29 57K 
[   ]kgraphviewer-2.5.0-r0.apk2024-05-08 19:47 1.3M 
[   ]kgraphviewer-dev-2.5.0-r0.apk2024-05-08 19:47 6.0K 
[   ]kgraphviewer-lang-2.5.0-r0.apk2024-05-08 19:47 230K 
[   ]khinsider-2.0.7-r16.apk2025-01-20 06:38 3.1M 
[   ]khronos-4.0.1-r0.apk2023-12-14 17:55 53K 
[   ]khronos-lang-4.0.1-r0.apk2023-12-14 17:55 25K 
[   ]kine-0.10.1-r9.apk2025-01-20 06:38 7.4M 
[   ]kine-doc-0.10.1-r9.apk2025-01-20 06:38 4.8K 
[   ]kirc-0.3.2-r0.apk2023-05-23 14:46 10K 
[   ]kirc-doc-0.3.2-r0.apk2023-05-23 14:46 2.4K 
[   ]kismet-0.202307.1-r4.apk2024-11-12 01:48 12M 
[   ]kismet-linux-bluetooth-0.202307.1-r4.apk2024-11-12 01:48 46K 
[   ]kismet-linux-wifi-0.202307.1-r4.apk2024-11-12 01:48 64K 
[   ]kismet-logtools-0.202307.1-r4.apk2024-11-12 01:48 1.1M 
[   ]kismet-nrf-51822-0.202307.1-r4.apk2024-11-12 01:48 42K 
[   ]kismet-nxp-kw41z-0.202307.1-r4.apk2024-11-12 01:48 44K 
[   ]kjv-0_git20221103-r0.apk2023-09-25 06:51 1.5M 
[   ]kmscon-9.0.0-r0.apk2022-10-07 08:49 778K 
[   ]kmscon-doc-9.0.0-r0.apk2022-10-07 08:49 7.5K 
[   ]knative-client-1.17.0-r0.apk2025-02-06 03:27 22M 
[   ]knative-client-bash-completion-1.17.0-r0.apk2025-02-06 03:27 9.8K 
[   ]knative-client-fish-completion-1.17.0-r0.apk2025-02-06 03:27 4.0K 
[   ]knative-client-zsh-completion-1.17.0-r0.apk2025-02-06 03:27 3.7K 
[   ]knxd-0.14.61-r1.apk2024-12-14 23:22 410K 
[   ]knxd-dev-0.14.61-r1.apk2024-12-14 23:22 39K 
[   ]ko-0.17.1-r1.apk2025-01-20 06:38 9.7M 
[   ]ko-bash-completion-0.17.1-r1.apk2025-01-20 06:38 4.7K 
[   ]ko-fish-completion-0.17.1-r1.apk2025-01-20 06:38 4.0K 
[   ]ko-zsh-completion-0.17.1-r1.apk2025-01-20 06:38 3.7K 
[   ]kodaskanna-0.2.2-r0.apk2025-01-20 09:32 52K 
[   ]kodaskanna-lang-0.2.2-r0.apk2025-01-20 09:32 23K 
[   ]komikku-1.67.0-r0.apk2025-01-12 20:35 1.0M 
[   ]komikku-lang-1.67.0-r0.apk2025-01-12 20:35 232K 
[   ]komikku-pyc-1.67.0-r0.apk2025-01-12 20:35 731K 
[   ]kompose-1.31.2-r6.apk2025-01-20 06:38 6.6M 
[   ]kompose-bash-completion-1.31.2-r6.apk2025-01-20 06:38 5.3K 
[   ]kompose-fish-completion-1.31.2-r6.apk2025-01-20 06:38 4.1K 
[   ]kompose-zsh-completion-1.31.2-r6.apk2025-01-20 06:38 6.5K 
[   ]kondo-0.8-r0.apk2023-12-20 23:50 649K 
[   ]kondo-bash-completion-0.8-r0.apk2023-12-20 23:50 1.8K 
[   ]kondo-fish-completion-0.8-r0.apk2023-12-20 23:50 1.8K 
[   ]kondo-zsh-completion-0.8-r0.apk2023-12-20 23:50 2.2K 
[   ]kopia-0.19.0-r0.apk2025-02-08 03:33 16M 
[   ]kopia-bash-completion-0.19.0-r0.apk2025-02-08 03:33 1.4K 
[   ]kopia-zsh-completion-0.19.0-r0.apk2025-02-08 03:33 1.5K 
[   ]krita-plugin-gmic-3.2.4.1-r3.apk2024-03-25 13:20 2.7M 
[   ]ktx-4.3.2-r0.apk2024-07-23 03:14 1.2M 
[   ]ktx-dev-4.3.2-r0.apk2024-07-23 03:14 29K 
[   ]ktx-libs-4.3.2-r0.apk2024-07-23 03:14 1.5M 
[   ]kube-no-trouble-0.7.3-r1.apk2025-01-20 06:38 13M 
[   ]kubeconform-0.6.6-r3.apk2025-01-20 06:38 3.0M 
[   ]kubectl-oidc_login-1.32.2-r0.apk2025-02-08 02:47 5.0M 
[   ]kubeone-1.9.2-r0.apk2025-02-08 01:05 25M 
[   ]kubeone-bash-completion-1.9.2-r0.apk2025-02-08 01:05 6.4K 
[   ]kubeone-doc-1.9.2-r0.apk2025-02-08 01:05 20K 
[   ]kubeone-zsh-completion-1.9.2-r0.apk2025-02-08 01:05 3.7K 
[   ]kubepug-1.7.1-r6.apk2025-01-20 06:38 15M 
[   ]kubepug-bash-completion-1.7.1-r6.apk2025-01-20 06:38 4.8K 
[   ]kubepug-fish-completion-1.7.1-r6.apk2025-01-20 06:38 4.0K 
[   ]kubepug-zsh-completion-1.7.1-r6.apk2025-01-20 06:38 3.7K 
[   ]kubeseal-0.27.3-r1.apk2025-01-20 06:38 10M 
[   ]kubeseal-doc-0.27.3-r1.apk2025-01-20 06:38 5.2K 
[   ]kubesplit-0.3.3-r1.apk2024-04-30 03:06 12K 
[   ]kubesplit-pyc-0.3.3-r1.apk2024-04-30 03:06 13K 
[   ]laminar-1.3-r5.apk2024-12-25 20:19 290K 
[   ]laminar-bash-completion-1.3-r5.apk2024-12-25 20:19 1.6K 
[   ]laminar-doc-1.3-r5.apk2024-12-25 20:19 3.4K 
[   ]laminar-openrc-1.3-r5.apk2024-12-25 20:19 1.6K 
[   ]laminar-zsh-completion-1.3-r5.apk2024-12-25 20:19 1.5K 
[   ]latex-clean-fig-0.1.0-r0.apk2025-02-04 15:44 5.1K 
[   ]latex-clean-fig-pyc-0.1.0-r0.apk2025-02-04 15:44 3.9K 
[   ]laze-0.1.29-r0.apk2025-01-27 00:29 1.0M 
[   ]laze-bash-completion-0.1.29-r0.apk2025-01-27 00:29 2.8K 
[   ]laze-doc-0.1.29-r0.apk2025-01-27 00:29 3.2K 
[   ]laze-fish-completion-0.1.29-r0.apk2025-01-27 00:29 3.1K 
[   ]laze-zsh-completion-0.1.29-r0.apk2025-01-27 00:29 3.3K 
[   ]lazymc-0.2.11-r0.apk2024-03-25 13:20 1.1M 
[   ]lazymc-openrc-0.2.11-r0.apk2024-03-25 13:20 1.8K 
[   ]lcalc-2.0.5-r2.apk2024-10-01 05:06 184K 
[   ]lcalc-dev-2.0.5-r2.apk2024-10-01 05:06 57K 
[   ]lcalc-doc-2.0.5-r2.apk2024-10-01 05:06 437K 
[   ]lcalc-libs-2.0.5-r2.apk2024-10-01 05:06 227K 
[   ]ldapdomaindump-0.9.4-r1.apk2024-04-30 03:06 18K 
[   ]ldapdomaindump-pyc-0.9.4-r1.apk2024-04-30 03:06 30K 
[   ]ledmon-1.0.0-r0.apk2024-09-30 07:39 82K 
[   ]ledmon-doc-1.0.0-r0.apk2024-09-30 07:39 14K 
[   ]lefthook-1.8.5-r1.apk2025-01-20 06:38 3.8M 
[   ]lefthook-doc-1.8.5-r1.apk2025-01-20 06:38 1.9K 
[   ]legume-1.4.2-r5.apk2025-01-20 06:38 1.4M 
[   ]legume-doc-1.4.2-r5.apk2025-01-20 06:38 12K 
[   ]leptosfmt-0.1.18-r0.apk2024-01-19 17:13 852K 
[   ]leptosfmt-doc-0.1.18-r0.apk2024-01-19 17:13 5.9K 
[   ]levmar-dev-2.6-r0.apk2022-04-29 12:40 84K 
[   ]lfm-3.1-r4.apk2024-04-30 03:06 88K 
[   ]lfm-doc-3.1-r4.apk2024-04-30 03:06 2.5K 
[   ]lfm-pyc-3.1-r4.apk2024-04-30 03:06 133K 
[   ]lgogdownloader-3.16-r0.apk2024-12-26 05:50 364K 
[   ]lgogdownloader-doc-3.16-r0.apk2024-12-26 05:50 8.3K 
[   ]libabigail-2.3-r0.apk2023-05-04 09:36 848K 
[   ]libabigail-bash-completion-2.3-r0.apk2023-05-04 09:36 2.7K 
[   ]libabigail-dev-2.3-r0.apk2023-05-04 09:36 2.4M 
[   ]libabigail-doc-2.3-r0.apk2023-05-04 09:36 61K 
[   ]libabigail-tools-2.3-r0.apk2023-05-04 09:36 104K 
[   ]libantic-0.2.5-r0.apk2022-11-02 21:45 36K 
[   ]libantic-dev-0.2.5-r0.apk2022-11-02 21:45 6.1K 
[   ]libantlr3c-3.4-r3.apk2023-05-21 14:09 49K 
[   ]libantlr3c-dev-3.4-r3.apk2023-05-21 14:09 58K 
[   ]libantlr4-4.13.2-r0.apk2024-12-12 12:09 425K 
[   ]libantlr4-dev-4.13.2-r0.apk2024-12-12 12:09 1.6M 
[   ]libarb-2.23.0-r2.apk2023-08-19 21:23 1.5M 
[   ]libarb-dev-2.23.0-r2.apk2023-08-19 21:23 54K 
[   ]libarb-static-2.23.0-r2.apk2023-08-19 21:23 3.2M 
[   ]libaudec-0.3.4-r3.apk2023-07-30 14:12 28K 
[   ]libaudec-dev-0.3.4-r3.apk2023-07-30 14:12 4.0K 
[   ]libaudec-static-0.3.4-r3.apk2023-07-30 14:12 46K 
[   ]libaudec-tools-0.3.4-r3.apk2023-07-30 14:12 28K 
[   ]libb64-2.0.0.1-r0.apk2024-06-16 13:26 4.0K 
[   ]libb64-dev-2.0.0.1-r0.apk2024-06-16 13:26 6.6K 
[   ]libb64-doc-2.0.0.1-r0.apk2024-06-16 13:26 7.8K 
[   ]libbamf-0.5.6-r1.apk2023-12-14 17:55 151K 
[   ]libbamf-dev-0.5.6-r1.apk2023-12-14 17:55 6.2K 
[   ]libbamf-doc-0.5.6-r1.apk2023-12-14 17:55 31K 
[   ]libbloom-2.0-r0.apk2023-06-07 19:29 7.4K 
[   ]libbloom-dev-2.0-r0.apk2023-06-07 19:29 3.2K 
[   ]libbraiding-1.3.1-r0.apk2024-11-17 06:00 85K 
[   ]libbraiding-dev-1.3.1-r0.apk2024-11-17 06:00 15K 
[   ]libbsoncxx-3.8.0-r0.apk2023-08-19 21:23 45K 
[   ]libbsoncxx-dev-3.8.0-r0.apk2023-08-19 21:23 38K 
[   ]libcli-1.10.7-r0.apk2021-07-27 10:11 25K 
[   ]libcork-0.15.0-r7.apk2023-12-14 17:55 36K 
[   ]libcork-dev-0.15.0-r7.apk2023-12-14 17:55 30K 
[   ]libcork-tools-0.15.0-r7.apk2023-12-14 17:55 4.5K 
[   ]libcorkipset-1.1.1-r4.apk2023-12-14 17:55 13K 
[   ]libcorkipset-dev-1.1.1-r4.apk2023-12-14 17:55 7.8K 
[   ]libcorkipset-tools-1.1.1-r4.apk2023-12-14 17:55 11K 
[   ]libcotp-3.1.0-r0.apk2024-10-18 09:36 7.6K 
[   ]libcotp-dev-3.1.0-r0.apk2024-10-18 09:36 2.2K 
[   ]libctl-4.5.1-r1.apk2023-05-21 14:09 97K 
[   ]libctl-dev-4.5.1-r1.apk2023-05-21 14:09 38K 
[   ]libctl-doc-4.5.1-r1.apk2023-05-21 14:09 2.8K 
[   ]libcyaml-1.4.2-r0.apk2024-08-31 23:49 20K 
[   ]libcyaml-dev-1.4.2-r0.apk2024-08-31 23:49 13K 
[   ]libcyaml-doc-1.4.2-r0.apk2024-08-31 23:49 8.4K 
[   ]libcyaml-static-1.4.2-r0.apk2024-08-31 23:49 43K 
[   ]libdcmtk-3.6.9-r0.apk2025-01-20 06:38 6.5M 
[   ]libdng-0.2.1-r0.apk2024-12-28 00:33 11K 
[   ]libdng-dev-0.2.1-r0.apk2024-12-28 00:33 2.9K 
[   ]libdng-doc-0.2.1-r0.apk2024-12-28 00:33 3.9K 
[   ]libdng-utils-0.2.1-r0.apk2024-12-28 00:33 5.7K 
[   ]libeantic-2.0.2-r1.apk2024-04-30 03:06 73K 
[   ]libeantic-dev-2.0.2-r1.apk2024-04-30 03:06 17K 
[   ]libecap-1.0.1-r1.apk2023-05-21 14:09 13K 
[   ]libecap-dev-1.0.1-r1.apk2023-05-21 14:09 11K 
[   ]libecap-static-1.0.1-r1.apk2023-05-21 14:09 27K 
[   ]libecm-7.0.5-r1.apk2023-05-21 14:09 218K 
[   ]libemf2svg-1.1.0-r2.apk2022-10-25 15:04 136K 
[   ]libemf2svg-utils-1.1.0-r2.apk2022-10-25 15:04 16K 
[   ]liberasurecode-1.6.3-r1.apk2023-05-21 14:09 41K 
[   ]liberasurecode-dev-1.6.3-r1.apk2023-05-21 14:09 18K 
[   ]libettercap-0.8.3.1-r3.apk2024-07-13 05:59 199K 
[   ]libfishsound-1.0.0-r1.apk2021-07-27 10:11 7.9K 
[   ]libfishsound-dev-1.0.0-r1.apk2021-07-27 10:11 103K 
[   ]libfishsound-doc-1.0.0-r1.apk2021-07-27 10:11 75K 
[   ]libfoma-0.10.0_git20240712-r0.apk2024-09-28 05:57 102K 
[   ]libfort-0.4.2-r0.apk2021-10-03 08:15 28K 
[   ]libfort-dev-0.4.2-r0.apk2021-10-03 08:15 17K 
[   ]libfyaml-0.9-r0.apk2023-12-22 01:30 280K 
[   ]libfyaml-dev-0.9-r0.apk2023-12-22 01:30 42K 
[   ]libfyaml-doc-0.9-r0.apk2023-12-22 01:30 7.2K 
[   ]libgdcm-3.0.24-r0.apk2024-05-15 00:47 2.7M 
[   ]libgivaro-4.2.0-r2.apk2023-08-19 21:23 78K 
[   ]libgivaro-dev-4.2.0-r2.apk2023-08-19 21:23 244K 
[   ]libgivaro-static-4.2.0-r2.apk2023-08-19 21:23 168K 
[   ]libgrapheme-1-r0.apk2022-01-29 06:49 9.0K 
[   ]libgrapheme-dev-1-r0.apk2022-01-29 06:49 12K 
[   ]libgrapheme-doc-1-r0.apk2022-01-29 06:49 8.0K 
[   ]libhomfly-1.02_p6-r1.apk2024-03-29 12:33 15K 
[   ]libhomfly-dev-1.02_p6-r1.apk2024-03-29 12:33 28K 
[   ]libhwpwm-0.4.4-r0.apk2023-09-28 20:50 5.1K 
[   ]libhwpwm-dev-0.4.4-r0.apk2023-09-28 20:50 7.5K 
[   ]libhwpwm-doc-0.4.4-r0.apk2023-09-28 20:50 13K 
[   ]libideviceactivation-1.1.1-r5.apk2024-10-30 23:46 16K 
[   ]libideviceactivation-dev-1.1.1-r5.apk2024-10-30 23:46 3.1K 
[   ]libideviceactivation-doc-1.1.1-r5.apk2024-10-30 23:46 1.9K 
[   ]libigraph-0.10.15-r0.apk2024-11-15 16:43 1.2M 
[   ]libigraph-dev-0.10.15-r0.apk2024-11-15 16:43 90K 
[   ]libiio-0.25-r2.apk2024-08-07 03:50 53K 
[   ]libiio-dev-0.25-r2.apk2024-08-07 03:50 13K 
[   ]libiio-doc-0.25-r2.apk2024-08-07 03:50 18K 
[   ]libiio-pyc-0.25-r2.apk2024-08-07 03:50 21K 
[   ]libiio-tools-0.25-r2.apk2024-08-07 03:50 71K 
[   ]libiml-1.0.5-r3.apk2023-08-19 21:23 63K 
[   ]libiml-dev-1.0.5-r3.apk2023-08-19 21:23 3.7K 
[   ]libiml-static-1.0.5-r3.apk2023-08-19 21:23 115K 
[   ]libirecovery-1.2.1-r0.apk2024-10-30 23:46 25K 
[   ]libirecovery-dev-1.2.1-r0.apk2024-10-30 23:46 3.8K 
[   ]libirecovery-progs-1.2.1-r0.apk2024-10-30 23:46 7.8K 
[   ]libiscsi-1.19.0-r2.apk2023-05-21 14:09 61K 
[   ]libiscsi-dev-1.19.0-r2.apk2023-05-21 14:09 20K 
[   ]libiscsi-doc-1.19.0-r2.apk2023-05-21 14:09 9.0K 
[   ]libiscsi-static-1.19.0-r2.apk2023-05-21 14:09 134K 
[   ]libiscsi-utils-1.19.0-r2.apk2023-05-21 14:09 85K 
[   ]libjodycode-3.1.1-r0.apk2024-08-07 03:50 7.3K 
[   ]libjodycode-dev-3.1.1-r0.apk2024-08-07 03:50 4.0K 
[   ]libjodycode-doc-3.1.1-r0.apk2024-08-07 03:50 3.4K 
[   ]liblastfm-qt-1.1.10_git20190823-r3.apk2024-05-08 15:23 160K 
[   ]liblastfm-qt-dev-1.1.10_git20190823-r3.apk2024-05-08 15:23 19K 
[   ]libm4ri-20240729-r2.apk2025-01-15 19:05 108K 
[   ]libm4ri-dev-20240729-r2.apk2025-01-15 19:05 31K 
[   ]libm4ri-static-20240729-r2.apk2025-01-15 19:05 182K 
[   ]libm4rie-20200125-r5.apk2025-01-15 19:05 163K 
[   ]libm4rie-dev-20200125-r5.apk2025-01-15 19:05 24K 
[   ]libm4rie-static-20200125-r5.apk2025-01-15 19:05 272K 
[   ]libmdbx-0.11.8-r0.apk2022-07-04 16:24 836K 
[   ]libmdbx-dbg-0.11.8-r0.apk2022-07-04 16:24 2.4M 
[   ]libmdbx-dev-0.11.8-r0.apk2022-07-04 16:24 93K 
[   ]libmdbx-doc-0.11.8-r0.apk2022-07-04 16:24 8.9K 
[   ]libmdf-1.0.29-r0.apk2024-09-26 23:32 34K 
[   ]libmdf-dev-1.0.29-r0.apk2024-09-26 23:32 14K 
[   ]libmedc-4.1.1-r4.apk2024-06-17 10:19 613K 
[   ]libmedc-dev-4.1.1-r4.apk2024-06-17 10:19 37K 
[   ]libmedc-doc-4.1.1-r4.apk2024-06-17 10:19 41M 
[   ]libmedc-python-4.1.1-r4.apk2024-06-17 10:19 1.8M 
[   ]libmedc-python-pyc-4.1.1-r4.apk2024-06-17 10:19 475K 
[   ]libmhash-0.9.9.9-r3.apk2022-10-14 21:59 102K 
[   ]libmhash-dev-0.9.9.9-r3.apk2022-10-14 21:59 125K 
[   ]libmhash-doc-0.9.9.9-r3.apk2022-10-14 21:59 7.9K 
[   ]libmpfi-1.5.4-r2.apk2023-08-19 21:23 36K 
[   ]libmpfi-dev-1.5.4-r2.apk2023-08-19 21:23 5.1K 
[   ]libmpfi-doc-1.5.4-r2.apk2023-08-19 21:23 18K 
[   ]libmpfi-static-1.5.4-r2.apk2023-08-19 21:23 95K 
[   ]libmrss-0.19.2-r1.apk2021-07-27 10:11 18K 
[   ]libmrss-dev-0.19.2-r1.apk2021-07-27 10:11 53K 
[   ]libmustache-0.5.0-r1.apk2022-10-25 15:04 109K 
[   ]libmygpo-qt-1.1.0-r2.apk2024-05-08 15:23 84K 
[   ]libmygpo-qt-dev-1.1.0-r2.apk2024-05-08 15:23 12K 
[   ]libmysofa-1.3.2-r0.apk2023-12-14 17:55 26K 
[   ]libmysofa-dev-1.3.2-r0.apk2023-12-14 17:55 6.8K 
[   ]libmysofa-tools-1.3.2-r0.apk2023-12-14 17:55 1.1M 
[   ]libnbcompat-1.0.2-r0.apk2024-06-20 16:36 39K 
[   ]libnbcompat-dev-1.0.2-r0.apk2024-06-20 16:36 101K 
[   ]libnest2d-0.4-r7.apk2025-02-06 06:25 1.0K 
[   ]libnest2d-dev-0.4-r7.apk2025-02-06 06:25 70K 
[   ]libnfc-1.8.0-r1.apk2023-05-21 14:09 60K 
[   ]libnfc-dev-1.8.0-r1.apk2023-05-21 14:09 7.6K 
[   ]libnfc-doc-1.8.0-r1.apk2023-05-21 14:09 22K 
[   ]libnfc-tools-1.8.0-r1.apk2023-05-21 14:09 58K 
[   ]libnih-1.0.3-r7.apk2024-09-16 17:26 108K 
[   ]libnih-dev-1.0.3-r7.apk2024-09-16 17:26 179K 
[   ]libnih-doc-1.0.3-r7.apk2024-09-16 17:26 2.4K 
[   ]libntl-11.5.1-r4.apk2024-08-30 08:28 1.1M 
[   ]libntl-dev-11.5.1-r4.apk2024-08-30 08:28 159K 
[   ]libntl-doc-11.5.1-r4.apk2024-08-30 08:28 373K 
[   ]libntl-static-11.5.1-r4.apk2024-08-30 08:28 3.5M 
[   ]libnxml-0.18.3-r0.apk2021-07-27 10:11 18K 
[   ]libnxml-dev-0.18.3-r0.apk2021-07-27 10:11 42K 
[   ]libofx-0.10.9-r1.apk2023-08-26 18:31 65K 
[   ]libofx-dev-0.10.9-r1.apk2023-08-26 18:31 19K 
[   ]libofx-tools-0.10.9-r1.apk2023-08-26 18:31 105K 
[   ]liboggz-1.1.1-r2.apk2022-10-25 15:04 72K 
[   ]liboggz-dev-1.1.1-r2.apk2022-10-25 15:04 316K 
[   ]liboggz-doc-1.1.1-r2.apk2022-10-25 15:04 134K 
[   ]libopensmtpd-0.7-r0.apk2022-03-01 20:44 16K 
[   ]libopensmtpd-dev-0.7-r0.apk2022-03-01 20:44 3.1K 
[   ]libopensmtpd-doc-0.7-r0.apk2022-03-01 20:44 5.0K 
[   ]libppl-1.2-r1.apk2023-05-21 14:09 489K 
[   ]libppl_c-1.2-r1.apk2023-05-21 14:09 1.4M 
[   ]libqd-2.3.24-r0.apk2024-01-09 00:07 153K 
[   ]libqd-dev-2.3.24-r0.apk2024-01-09 00:07 58K 
[   ]libqd-doc-2.3.24-r0.apk2024-01-09 00:07 182K 
[   ]libqd-static-2.3.24-r0.apk2024-01-09 00:07 490K 
[   ]libqtdbusmock-0.9.1-r1.apk2024-06-22 12:59 69K 
[   ]libqtdbustest-0.3.3-r0.apk2025-01-10 16:31 30K 
[   ]libraqm-0.10.2-r0.apk2024-10-13 21:12 10K 
[   ]libraqm-dev-0.10.2-r0.apk2024-10-13 21:12 4.3K 
[   ]libraqm-doc-0.10.2-r0.apk2024-10-13 21:12 21K 
[   ]libreoffice-voikko-5.0_git20200127-r0.apk2023-06-13 19:35 45K 
[   ]libretro-atari800-0_git20240924-r0.apk2024-09-27 02:36 281K 
[   ]libretro-beetle-pce-fast-0_git20220205-r0.apk2022-04-29 12:40 428K 
[   ]libretro-beetle-pcfx-0_git20220409-r0.apk2022-04-29 12:40 306K 
[   ]libretro-beetle-saturn-0_git20220417-r0.apk2022-04-29 12:40 1.8M 
[   ]libretro-beetle-supergrafx-0_git20220218-r0.apk2022-04-29 12:40 429K 
[   ]libretro-bluemsx-0_git20240808-r0.apk2024-09-24 00:18 652K 
[   ]libretro-cannonball-0_git20220309-r6.apk2024-04-30 03:06 277K 
[   ]libretro-cap32-0_git20220419-r0.apk2022-04-29 12:40 290K 
[   ]libretro-crocods-0_git20210314-r1.apk2021-07-27 10:11 258K 
[   ]libretro-daphne-0_git20210108-r2.apk2024-10-01 07:41 655K 
[   ]libretro-dinothawr-0_git20220401-r0.apk2022-04-29 12:40 123K 
[   ]libretro-fbneo-0_git20220416-r0.apk2022-04-29 12:40 11M 
[   ]libretro-freeintv-0_git20220319-r0.apk2022-04-29 12:40 31K 
[   ]libretro-frodo-0_git20221221-r0.apk2022-04-29 12:40 154K 
[   ]libretro-fuse-0_git20220417-r0.apk2022-04-29 12:40 857K 
[   ]libretro-genesis-plus-gx-0_git20230503-r0.apk2023-05-05 10:59 959K 
[   ]libretro-gme-0_git20240628-r0.apk2024-09-23 23:59 193K 
[   ]libretro-gong-0_git20220319-r0.apk2022-04-29 12:40 8.5K 
[   ]libretro-gw-0_git20220410-r0.apk2022-04-29 12:40 161K 
[   ]libretro-mame2000-0_git20240701-r0.apk2024-09-23 23:59 3.2M 
[   ]libretro-mame2003-0_git20240904-r0.apk2024-09-27 02:33 7.8M 
[   ]libretro-mu-0_git20220317-r0.apk2022-04-29 12:40 150K 
[   ]libretro-neocd-0_git20220325-r1.apk2024-07-12 14:38 459K 
[   ]libretro-nxengine-0_git20220301-r0.apk2022-04-29 12:40 282K 
[   ]libretro-openlara-0_git20210121-r0.apk2022-04-29 12:40 495K 
[   ]libretro-opera-0_git20211214-r0.apk2022-04-29 12:40 161K 
[   ]libretro-pcsx-rearmed-0_git20220409-r0.apk2022-04-29 12:40 484K 
[   ]libretro-pocketcdg-0_git20220327-r0.apk2022-04-29 12:40 80K 
[   ]libretro-ppsspp-0_git20210516-r14.apk2024-10-15 20:22 2.3M 
[   ]libretro-scummvm-0_git20210325-r0.apk2021-07-27 10:11 19M 
[   ]libretro-snes9x-0_git20240819-r0.apk2024-09-27 02:36 815K 
[   ]libretro-theodore-3.1-r0.apk2022-04-29 12:40 866K 
[   ]libretro-tyrquake-0_git20220409-r0.apk2022-04-29 12:40 355K 
[   ]libretro-xrick-0_git20220331-r0.apk2022-04-29 12:40 109K 
[   ]libsbsms-2.3.0-r0.apk2021-09-07 12:50 105K 
[   ]libsbsms-dev-2.3.0-r0.apk2021-09-07 12:50 164K 
[   ]libsds-2.0.0-r1.apk2021-07-27 10:11 9.1K 
[   ]libsds-dev-2.0.0-r1.apk2021-07-27 10:11 3.8K 
[   ]libsemanage-3.6-r1.apk2024-09-28 17:48 94K 
[   ]libsemanage-dev-3.6-r1.apk2024-09-28 17:48 243K 
[   ]libsemanage-doc-3.6-r1.apk2024-09-28 17:48 23K 
[   ]libsemigroups-2.7.3-r1.apk2024-12-14 23:22 693K 
[   ]libsemigroups-dev-2.7.3-r1.apk2024-12-14 23:22 334K 
[   ]libsemigroups-static-2.7.3-r1.apk2024-12-14 23:22 2.9M 
[   ]libserialport-0.1.1-r1.apk2022-02-07 08:11 18K 
[   ]libserialport-dev-0.1.1-r1.apk2022-02-07 08:11 62K 
[   ]libshadowsocks-libev-3.3.5-r4.apk2024-04-30 03:06 49K 
[   ]libsigrok-0.5.2-r3.apk2024-09-30 14:42 498K 
[   ]libsigrok-dev-0.5.2-r3.apk2024-09-30 14:42 30K 
[   ]libsigrokdecode-0.5.3-r4.apk2024-04-30 03:06 334K 
[   ]libsigrokdecode-dev-0.5.3-r4.apk2024-04-30 03:06 63K 
[   ]libsimpleble-0.6.1-r2.apk2024-12-14 23:22 184K 
[   ]libsimpleble-c-0.6.1-r2.apk2024-12-14 23:22 15K 
[   ]libsimplebluez-0.6.1-r2.apk2024-12-14 23:22 130K 
[   ]libsirocco-2.1.0-r2.apk2023-08-19 21:23 66K 
[   ]libsirocco-dev-2.1.0-r2.apk2023-08-19 21:23 1.6K 
[   ]libspatialindex-0_git20210205-r1.apk2023-12-14 17:55 311K 
[   ]libspatialindex-dev-0_git20210205-r1.apk2023-12-14 17:55 22K 
[   ]libstirshaken-0_git20240208-r2.apk2024-02-08 12:17 55K 
[   ]libstirshaken-dev-0_git20240208-r2.apk2024-02-08 12:17 141K 
[   ]libstirshaken-tools-0_git20240208-r2.apk2024-02-08 12:17 169K 
[   ]libsymmetrica-3.0.1-r2.apk2023-08-19 21:23 4.4M 
[   ]libsymmetrica-dev-3.0.1-r2.apk2023-08-19 21:23 32K 
[   ]libsymmetrica-static-3.0.1-r2.apk2023-08-19 21:23 12M 
[   ]libtcmu-1.6.0-r6.apk2024-10-13 20:32 37K 
[   ]libtcmu-dev-1.6.0-r6.apk2024-10-13 20:32 1.3K 
[   ]libtins-4.5-r1.apk2024-04-30 03:06 322K 
[   ]libtins-dev-4.5-r1.apk2024-04-30 03:06 141K 
[   ]libtins-doc-4.5-r1.apk2024-04-30 03:06 2.1K 
[   ]libtinycbor-0.6.0-r1.apk2022-11-13 21:52 16K 
[   ]libtommath-1.2.1-r0.apk2023-10-06 18:21 42K 
[   ]libtommath-dev-1.2.1-r0.apk2023-10-06 18:21 93K 
[   ]libtsm-4.0.2-r1.apk2024-09-25 10:31 26K 
[   ]libtsm-dev-4.0.2-r1.apk2024-09-25 10:31 9.3K 
[   ]libucl-0.9.0-r0.apk2024-02-02 22:16 54K 
[   ]libucl-dev-0.9.0-r0.apk2024-02-02 22:16 140K 
[   ]libucl-doc-0.9.0-r0.apk2024-02-02 22:16 8.6K 
[   ]libuecc-7-r3.apk2023-12-14 17:55 10K 
[   ]libuecc-dev-7-r3.apk2023-12-14 17:55 4.5K 
[   ]libuninameslist-20230916-r0.apk2023-09-18 06:56 463K 
[   ]libuninameslist-dev-20230916-r0.apk2023-09-18 06:56 3.2K 
[   ]libuninameslist-doc-20230916-r0.apk2023-09-18 06:56 1.8K 
[   ]libupstart-2.0.3-r5.apk2024-09-09 12:26 58K 
[   ]libvdpau-va-gl-0.4.2-r0.apk2021-07-27 10:11 57K 
[   ]libvisio2svg-0.5.5-r3.apk2023-05-01 23:45 14K 
[   ]libvisio2svg-dev-0.5.5-r3.apk2023-05-01 23:45 2.7K 
[   ]libvisio2svg-utils-0.5.5-r3.apk2023-05-01 23:45 115K 
[   ]libvmaf-3.0.0-r0.apk2024-02-05 22:19 329K 
[   ]libvmaf-dev-3.0.0-r0.apk2024-02-05 22:19 272K 
[   ]libvoikko-4.3.2-r1.apk2024-04-30 03:06 124K 
[   ]libvoikko-dev-4.3.2-r1.apk2024-04-30 03:06 9.6K 
[   ]libvoikko-doc-4.3.2-r1.apk2024-04-30 03:06 5.4K 
[   ]libwbxml-0.11.8-r0.apk2022-03-19 10:44 63K 
[   ]libwbxml-dev-0.11.8-r0.apk2022-03-19 10:44 9.0K 
[   ]libwbxml-doc-0.11.8-r0.apk2022-03-19 10:44 28K 
[   ]libwhich-1.2.0-r0.apk2022-11-29 07:40 3.6K 
[   ]libwmiclient-1.3.16-r5.apk2024-09-30 21:13 1.2K 
[   ]libwmiclient-dev-1.3.16-r5.apk2024-09-30 21:13 1.4K 
[   ]libxml++-5.0.3-r1.apk2023-05-01 23:45 60K 
[   ]libxml++-dev-5.0.3-r1.apk2023-05-01 23:45 30K 
[   ]libxo-1.7.5-r0.apk2025-01-13 00:03 178K 
[   ]libxo-dev-1.7.5-r0.apk2025-01-13 00:03 130K 
[   ]libxo-doc-1.7.5-r0.apk2025-01-13 00:03 63K 
[   ]libzn_poly-0.9.2-r2.apk2023-08-19 21:23 47K 
[   ]libzn_poly-dev-0.9.2-r2.apk2023-08-19 21:23 7.9K 
[   ]libzn_poly-static-0.9.2-r2.apk2023-08-19 21:23 79K 
[   ]libzrtpcpp-4.7.0-r0.apk2025-01-04 22:57 171K 
[   ]libzrtpcpp-dev-4.7.0-r0.apk2025-01-04 22:57 38K 
[   ]libzvbi-0.2.43-r0.apk2024-12-07 22:12 229K 
[   ]libzvbi-dev-0.2.43-r0.apk2024-12-07 22:12 14K 
[   ]libzvbi-static-0.2.43-r0.apk2024-12-07 22:12 494K 
[   ]licenseheaders-0.8.8-r4.apk2024-08-07 03:50 18K 
[   ]licenseheaders-pyc-0.8.8-r4.apk2024-08-07 03:50 18K 
[   ]limnoria-20240828-r0.apk2024-09-04 18:13 1.1M 
[   ]limnoria-doc-20240828-r0.apk2024-09-04 18:13 8.1K 
[   ]limnoria-pyc-20240828-r0.apk2024-09-04 18:13 1.2M 
[   ]linkquisition-1.6.1-r1.apk2025-01-20 06:38 12M 
[   ]linux-apfs-rw-src-0.3.8-r0.apk2024-03-15 06:36 197K 
[   ]linux-p550-6.6.67-r0.apk2024-12-28 08:01 58M 
[   ]linux-p550-dev-6.6.67-r0.apk2024-12-28 08:01 14M 
[   ]linux-p550-doc-6.6.67-r0.apk2024-12-28 08:01 12M 
[   ]linux-sophgo-6.12.7-r0.apk2025-01-01 15:19 90M 
[   ]linux-sophgo-dev-6.12.7-r0.apk2025-01-01 15:19 15M 
[   ]linux-sophgo-doc-6.12.7-r0.apk2025-01-01 15:19 13M 
[   ]linux-spacemit-6.6.53-r1.apk2024-11-16 08:53 21M 
[   ]linux-spacemit-dev-6.6.53-r1.apk2024-11-16 08:53 14M 
[   ]linux-spacemit-doc-6.6.53-r1.apk2024-11-16 08:53 12M 
[   ]linux-starfive-6.12.4-r0.apk2024-12-11 14:44 17M 
[   ]linux-starfive-dev-6.12.4-r0.apk2024-12-11 14:44 12M 
[   ]linux-timemachine-1.3.2-r0.apk2022-11-20 22:09 4.8K 
[   ]linuxkit-1.5.3-r1.apk2025-01-20 06:38 12M 
[   ]linuxkit-doc-1.5.3-r1.apk2025-01-20 06:38 10K 
[   ]linuxptp-4.4-r0.apk2024-11-17 19:01 1.0K 
[   ]linuxptp-doc-4.4-r0.apk2024-11-17 19:01 38K 
[   ]linuxptp-hwstamp_ctl-4.4-r0.apk2024-11-17 19:01 4.1K 
[   ]linuxptp-nsm-4.4-r0.apk2024-11-17 19:01 35K 
[   ]linuxptp-phc2sys-4.4-r0.apk2024-11-17 19:01 38K 
[   ]linuxptp-phc_ctl-4.4-r0.apk2024-11-17 19:01 9.6K 
[   ]linuxptp-pmc-4.4-r0.apk2024-11-17 19:01 39K 
[   ]linuxptp-ptp4l-4.4-r0.apk2024-11-17 19:01 82K 
[   ]linuxptp-timemaster-4.4-r0.apk2024-11-17 19:01 16K 
[   ]linuxptp-ts2phc-4.4-r0.apk2024-11-17 19:01 38K 
[   ]linuxptp-tz2alt-4.4-r0.apk2024-11-17 19:01 21K 
[   ]listenbrainz-mpd-2.3.8-r0.apk2024-08-16 03:55 1.2M 
[   ]listenbrainz-mpd-bash-completion-2.3.8-r0.apk2024-08-16 03:55 1.8K 
[   ]listenbrainz-mpd-doc-2.3.8-r0.apk2024-08-16 03:55 14K 
[   ]listenbrainz-mpd-fish-completion-2.3.8-r0.apk2024-08-16 03:55 1.6K 
[   ]listenbrainz-mpd-zsh-completion-2.3.8-r0.apk2024-08-16 03:55 1.8K 
[   ]litehtml-0.9-r1.apk2025-01-04 05:34 316K 
[   ]litehtml-dev-0.9-r1.apk2025-01-04 05:34 41K 
[   ]litehtml-static-0.9-r1.apk2025-01-04 05:34 1.0M 
[   ]litterbox-1.9-r1.apk2023-04-08 05:06 30K 
[   ]litterbox-doc-1.9-r1.apk2023-04-08 05:06 7.0K 
[   ]lizardfs-3.13.0-r14.apk2024-12-03 16:37 144K 
[   ]lizardfs-bash-completion-3.13.0-r14.apk2024-12-03 16:37 1.6K 
[   ]lizardfs-cgi-3.13.0-r14.apk2024-12-03 16:37 31K 
[   ]lizardfs-cgiserv-3.13.0-r14.apk2024-12-03 16:37 7.0K 
[   ]lizardfs-cgiserv-openrc-3.13.0-r14.apk2024-12-03 16:37 1.7K 
[   ]lizardfs-chunkserver-3.13.0-r14.apk2024-12-03 16:37 409K 
[   ]lizardfs-chunkserver-openrc-3.13.0-r14.apk2024-12-03 16:37 1.3K 
[   ]lizardfs-client-3.13.0-r14.apk2024-12-03 16:37 1.4M 
[   ]lizardfs-doc-3.13.0-r14.apk2024-12-03 16:37 11K 
[   ]lizardfs-master-3.13.0-r14.apk2024-12-03 16:37 1.1M 
[   ]lizardfs-master-openrc-3.13.0-r14.apk2024-12-03 16:37 1.3K 
[   ]lizardfs-metalogger-3.13.0-r14.apk2024-12-03 16:37 177K 
[   ]lizardfs-metalogger-openrc-3.13.0-r14.apk2024-12-03 16:37 1.3K 
[   ]llmnrd-0.7-r1.apk2022-10-25 15:04 14K 
[   ]llmnrd-doc-0.7-r1.apk2022-10-25 15:04 2.8K 
[   ]llmnrd-openrc-0.7-r1.apk2022-10-25 15:04 1.6K 
[   ]lockrun-1.1.3-r1.apk2022-10-25 15:04 4.6K 
[   ]log4cpp-1.1.4-r1.apk2023-05-21 14:09 70K 
[   ]log4cpp-dev-1.1.4-r1.apk2023-05-21 14:09 39K 
[   ]log4cxx-1.1.0-r2.apk2024-12-14 23:22 529K 
[   ]log4cxx-dev-1.1.0-r2.apk2024-12-14 23:22 135K 
[   ]logc-0.5.0-r0.apk2023-12-14 17:55 7.8K 
[   ]logc-argp-0.5.0-r0.apk2023-12-14 17:55 14K 
[   ]logc-config-0.5.0-r0.apk2023-12-14 17:55 4.7K 
[   ]logc-czmq-0.1.0-r0.apk2023-12-14 17:55 3.7K 
[   ]logc-dev-0.5.0-r0.apk2023-12-14 17:55 8.6K 
[   ]logc-libevent-0.1.0-r0.apk2023-12-14 17:55 3.1K 
[   ]logc-libs-0.1.0-r0.apk2023-12-14 17:55 1.2K 
[   ]logc-libs-dev-0.1.0-r0.apk2023-12-14 17:55 6.1K 
[   ]logtop-0.7-r0.apk2024-06-28 07:48 13K 
[   ]logtop-doc-0.7-r0.apk2024-06-28 07:48 2.6K 
[   ]logtop-libs-0.7-r0.apk2024-06-28 07:48 14K 
[   ]logwatch-7.10-r1.apk2024-05-07 10:33 483K 
[   ]logwatch-doc-7.10-r1.apk2024-05-07 10:33 38K 
[   ]lol-html-1.1.1-r1.apk2024-07-02 14:37 435K 
[   ]lol-html-dev-1.1.1-r1.apk2024-07-02 14:37 6.3K 
[   ]lolcat-1.4-r0.apk2023-06-15 05:54 8.8K 
[   ]lomiri-action-api-1.1.3-r1.apk2024-06-22 12:59 80K 
[   ]lomiri-action-api-dev-1.1.3-r1.apk2024-06-22 12:59 5.1K 
[   ]lomiri-api-0.2.2-r0.apk2025-01-10 16:31 33K 
[   ]lomiri-api-dev-0.2.2-r0.apk2025-01-10 16:31 32K 
[   ]lomiri-app-launch-0.1.11-r0.apk2025-01-26 01:21 340K 
[   ]lomiri-app-launch-dev-0.1.11-r0.apk2025-01-26 01:21 20K 
[   ]lomiri-calculator-app-4.0.2-r0.apk2024-03-15 18:57 375K 
[   ]lomiri-calculator-app-lang-4.0.2-r0.apk2024-03-15 18:57 37K 
[   ]lomiri-clock-app-4.1.0-r0.apk2024-12-02 12:47 231K 
[   ]lomiri-clock-app-lang-4.1.0-r0.apk2024-12-02 12:47 449K 
[   ]lomiri-content-hub-2.0.0-r0.apk2024-09-10 00:25 284K 
[   ]lomiri-content-hub-dev-2.0.0-r0.apk2024-09-10 00:25 11K 
[   ]lomiri-content-hub-doc-2.0.0-r0.apk2024-09-10 00:25 901K 
[   ]lomiri-content-hub-lang-2.0.0-r0.apk2024-09-10 00:25 42K 
[   ]lomiri-download-manager-0.1.3-r4.apk2025-01-26 01:16 575K 
[   ]lomiri-download-manager-dev-0.1.3-r4.apk2025-01-26 01:16 17K 
[   ]lomiri-download-manager-doc-0.1.3-r4.apk2025-01-26 01:16 3.4M 
[   ]lomiri-download-manager-lang-0.1.3-r4.apk2025-01-26 01:16 27K 
[   ]lomiri-filemanager-app-1.0.4-r0.apk2024-03-15 18:57 338K 
[   ]lomiri-filemanager-app-lang-1.0.4-r0.apk2024-03-15 18:57 174K 
[   ]lomiri-gallery-app-3.0.2-r0.apk2024-03-08 23:24 3.7M 
[   ]lomiri-gallery-app-lang-3.0.2-r0.apk2024-03-08 23:24 108K 
[   ]lomiri-history-service-0.6-r2.apk2024-12-07 14:19 357K 
[   ]lomiri-history-service-dev-0.6-r2.apk2024-12-07 14:19 11K 
[   ]lomiri-indicator-location-0_git20231227-r0.apk2024-03-15 18:57 29K 
[   ]lomiri-indicator-location-lang-0_git20231227-r0.apk2024-03-15 18:57 33K 
[   ]lomiri-libusermetrics-1.3.3-r0.apk2024-08-29 12:07 165K 
[   ]lomiri-libusermetrics-dev-1.3.3-r0.apk2024-08-29 12:07 7.9K 
[   ]lomiri-libusermetrics-doc-1.3.3-r0.apk2024-08-29 12:07 229K 
[   ]lomiri-libusermetrics-lang-1.3.3-r0.apk2024-08-29 12:07 47K 
[   ]lomiri-location-service-3.3.0-r0.apk2025-02-08 17:55 2.4M 
[   ]lomiri-location-service-dev-3.3.0-r0.apk2025-02-08 17:55 30K 
[   ]lomiri-location-service-doc-3.3.0-r0.apk2025-02-08 17:55 2.6K 
[   ]lomiri-location-service-lang-3.3.0-r0.apk2025-02-08 17:55 25K 
[   ]lomiri-notifications-1.3.1-r0.apk2025-01-10 16:31 97K 
[   ]lomiri-schemas-0.1.7-r0.apk2025-01-26 01:21 10K 
[   ]lomiri-settings-components-1.1.2-r0.apk2025-01-10 16:31 219K 
[   ]lomiri-settings-components-lang-1.1.2-r0.apk2025-01-10 16:31 98K 
[   ]lomiri-sounds-22.02-r0.apk2024-03-15 18:57 18M 
[   ]lomiri-terminal-app-2.0.3-r0.apk2024-11-12 18:19 64K 
[   ]lomiri-terminal-app-doc-2.0.3-r0.apk2024-11-12 18:19 2.3K 
[   ]lomiri-terminal-app-lang-2.0.3-r0.apk2024-11-12 18:19 62K 
[   ]lomiri-thumbnailer-3.0.4-r1.apk2025-01-26 22:26 225K 
[   ]lomiri-thumbnailer-dev-3.0.4-r1.apk2025-01-26 22:26 4.8K 
[   ]lomiri-thumbnailer-doc-3.0.4-r1.apk2025-01-26 22:26 1.2K 
[   ]lomiri-trust-store-2.0.2-r6.apk2024-09-28 00:12 1.0M 
[   ]lomiri-trust-store-dev-2.0.2-r6.apk2024-09-28 00:12 9.2K 
[   ]lomiri-trust-store-lang-2.0.2-r6.apk2024-09-28 00:12 32K 
[   ]lomiri-ui-extras-0.6.3-r1.apk2024-06-22 12:59 260K 
[   ]lomiri-ui-extras-lang-0.6.3-r1.apk2024-06-22 12:59 55K 
[   ]lomiri-ui-toolkit-1.3.5110-r0.apk2025-01-10 16:31 1.3M 
[   ]lomiri-ui-toolkit-dev-1.3.5110-r0.apk2025-01-10 16:31 167K 
[   ]lomiri-ui-toolkit-lang-1.3.5110-r0.apk2025-01-10 16:31 96K 
[   ]lomiri-url-dispatcher-0.1.3-r2.apk2024-06-22 12:59 38K 
[   ]lomiri-url-dispatcher-dev-0.1.3-r2.apk2024-06-22 12:59 3.1K 
[   ]lomiri-url-dispatcher-lang-0.1.3-r2.apk2024-06-22 12:59 21K 
[   ]lotide-0.15.0-r0.apk2024-03-29 03:20 3.4M 
[   ]lotide-openrc-0.15.0-r0.apk2024-03-29 03:20 2.9K 
[   ]lottieconverter-0.2_git20231219-r0.apk2023-12-19 23:24 11K 
[   ]lottieconverter-doc-0.2_git20231219-r0.apk2023-12-19 23:24 2.2K 
[   ]lout-3.42.2-r0.apk2023-06-12 23:36 1.4M 
[   ]lout-doc-3.42.2-r0.apk2023-06-12 23:36 452K 
[   ]lrcalc-2.1-r1.apk2023-05-21 14:09 11K 
[   ]lrcalc-dev-2.1-r1.apk2023-05-21 14:09 11K 
[   ]lrcalc-libs-2.1-r1.apk2023-05-21 14:09 26K 
[   ]lsdvd-0.17-r0.apk2023-01-31 22:12 13K 
[   ]lsdvd-doc-0.17-r0.apk2023-01-31 22:12 2.2K 
[   ]lshell-0.9.18-r11.apk2024-04-30 03:06 36K 
[   ]lshell-doc-0.9.18-r11.apk2024-04-30 03:06 25K 
[   ]lshell-pyc-0.9.18-r11.apk2024-04-30 03:06 35K 
[   ]lsix-1.8.2-r0.apk2023-12-23 09:03 6.3K 
[   ]lsmash-2.14.5-r2.apk2022-10-25 15:04 255K 
[   ]lsmash-dev-2.14.5-r2.apk2022-10-25 15:04 574K 
[   ]lua-editorconfig-0.3.0-r0.apk2021-07-27 10:11 1.2K 
[   ]lua-fn-0.1.0-r0.apk2022-10-07 08:49 3.1K 
[   ]lua-inet-0.2.0-r1.apk2024-07-10 05:12 8.9K 
[   ]lua-lanes-3.16.0-r1.apk2024-04-04 13:36 1.2K 
[   ]lua-lcurses-9.0.0-r0.apk2021-07-27 10:11 1.2K 
[   ]lua-libmodbus-0.6.1-r0.apk2021-07-27 10:11 1.2K 
[   ]lua-libmodbus-doc-0.6.1-r0.apk2021-07-27 10:11 19K 
[   ]lua-linenoise-0.9-r1.apk2021-07-27 10:11 1.2K 
[   ]lua-luastatic-0.0.12-r1.apk2022-10-25 15:04 1.2K 
[   ]lua-lupa-1.0-r0.apk2022-01-14 10:21 20K 
[   ]lua-lut-1.2.1-r0.apk2021-07-27 10:11 89K 
[   ]lua-psl-0.3-r0.apk2021-07-27 10:11 1.1K 
[   ]lua-xml-1.1.3-r2.apk2024-09-30 15:03 1.2K 
[   ]lua5.1-lanes-3.16.0-r1.apk2024-04-04 13:36 58K 
[   ]lua5.1-lcurses-9.0.0-r0.apk2021-07-27 10:11 22K 
[   ]lua5.1-libmodbus-0.6.1-r0.apk2021-07-27 10:11 8.3K 
[   ]lua5.1-linenoise-0.9-r1.apk2021-07-27 10:11 16K 
[   ]lua5.1-luacov-0.15.0-r0.apk2023-06-09 00:46 23K 
[   ]lua5.1-luacov-html-1.0.0-r1.apk2022-06-02 19:23 413K 
[   ]lua5.1-luastatic-0.0.12-r1.apk2022-10-25 15:04 66K 
[   ]lua5.1-psl-0.3-r0.apk2021-07-27 10:11 5.4K 
[   ]lua5.1-xml-1.1.3-r2.apk2024-09-30 15:03 23K 
[   ]lua5.2-editorconfig-0.3.0-r0.apk2021-07-27 10:11 3.9K 
[   ]lua5.2-lanes-3.16.0-r1.apk2024-04-04 13:36 58K 
[   ]lua5.2-libmodbus-0.6.1-r0.apk2021-07-27 10:11 8.3K 
[   ]lua5.2-linenoise-0.9-r1.apk2021-07-27 10:11 16K 
[   ]lua5.2-luacov-0.15.0-r0.apk2023-06-09 00:46 23K 
[   ]lua5.2-luacov-html-1.0.0-r1.apk2022-06-02 19:23 413K 
[   ]lua5.2-luastatic-0.0.12-r1.apk2022-10-25 15:04 8.1K 
[   ]lua5.2-psl-0.3-r0.apk2021-07-27 10:11 5.3K 
[   ]lua5.2-xml-1.1.3-r2.apk2024-09-30 15:03 23K 
[   ]lua5.3-apk3-3.0.0_pre3_git20241029-r0.apk2024-10-30 06:04 5.4K 
[   ]lua5.3-editorconfig-0.3.0-r0.apk2021-07-27 10:11 3.9K 
[   ]lua5.3-lanes-3.16.0-r1.apk2024-04-04 13:36 58K 
[   ]lua5.3-linenoise-0.9-r1.apk2021-07-27 10:11 16K 
[   ]lua5.3-luacov-0.15.0-r0.apk2023-06-09 00:46 23K 
[   ]lua5.3-luacov-html-1.0.0-r1.apk2022-06-02 19:23 413K 
[   ]lua5.3-luastatic-0.0.12-r1.apk2022-10-25 15:04 8.1K 
[   ]lua5.3-psl-0.3-r0.apk2021-07-27 10:11 5.3K 
[   ]lua5.4-editorconfig-0.3.0-r0.apk2021-07-27 10:11 3.9K 
[   ]lua5.4-lanes-3.16.0-r1.apk2024-04-04 13:36 58K 
[   ]lua5.4-linenoise-0.9-r1.apk2021-07-27 10:11 16K 
[   ]lua5.4-luacov-0.15.0-r0.apk2023-06-09 00:46 23K 
[   ]lua5.4-luastatic-0.0.12-r1.apk2022-10-25 15:04 8.2K 
[   ]luacov-0.15.0-r0.apk2023-06-09 00:46 1.2K 
[   ]luacov-html-1.0.0-r1.apk2022-06-02 19:23 1.2K 
[   ]luapak-0.1.0_beta5-r0.apk2021-07-27 10:11 35K 
[   ]luksmeta-9-r0.apk2022-06-17 04:36 12K 
[   ]luksmeta-dev-9-r0.apk2022-06-17 04:36 3.1K 
[   ]luksmeta-doc-9-r0.apk2022-06-17 04:36 5.5K 
[   ]lumina-desktop-1.6.2-r0.apk2022-07-05 21:19 1.2K 
[   ]lumina-desktop-archiver-1.6.2-r0.apk2022-07-05 21:19 171K 
[   ]lumina-desktop-core-1.6.2-r0.apk2022-07-05 21:19 9.0M 
[   ]lumina-desktop-coreutils-1.6.2-r0.apk2022-07-05 21:19 839K 
[   ]lumina-desktop-doc-1.6.2-r0.apk2022-07-05 21:19 12K 
[   ]lumina-desktop-fileinfo-1.6.2-r0.apk2022-07-05 21:19 164K 
[   ]lumina-desktop-fm-1.6.2-r0.apk2022-07-05 21:19 404K 
[   ]lumina-desktop-mediaplayer-1.6.2-r0.apk2022-07-05 21:19 204K 
[   ]lumina-desktop-photo-1.6.2-r0.apk2022-07-05 21:19 129K 
[   ]lumina-desktop-screenshot-1.6.2-r0.apk2022-07-05 21:19 172K 
[   ]lumina-desktop-sudo-1.6.2-r0.apk2022-07-05 21:19 99K 
[   ]lumina-desktop-textedit-1.6.2-r0.apk2022-07-05 21:19 198K 
[   ]lutgen-0.11.2-r0.apk2024-10-16 05:03 1.5M 
[   ]lutgen-bash-completion-0.11.2-r0.apk2024-10-16 05:03 1.4K 
[   ]lutgen-doc-0.11.2-r0.apk2024-10-16 05:03 3.9K 
[   ]lutgen-fish-completion-0.11.2-r0.apk2024-10-16 05:03 1.5K 
[   ]lutgen-zsh-completion-0.11.2-r0.apk2024-10-16 05:03 1.4K 
[   ]lxappearance-0.6.3-r3.apk2023-05-29 14:11 29K 
[   ]lxappearance-dev-0.6.3-r3.apk2023-05-29 14:11 3.0K 
[   ]lxappearance-doc-0.6.3-r3.apk2023-05-29 14:11 2.4K 
[   ]lxappearance-lang-0.6.3-r3.apk2023-05-29 14:11 80K 
[   ]lxd-feature-5.20-r7.apk2025-01-20 06:38 65M 
[   ]lxd-feature-bash-completion-5.20-r7.apk2025-01-20 06:38 4.8K 
[   ]lxd-feature-doc-5.20-r7.apk2025-01-20 06:38 1.4K 
[   ]lxd-feature-openrc-5.20-r7.apk2025-01-20 06:38 2.1K 
[   ]lxd-feature-scripts-5.20-r7.apk2025-01-20 06:38 1.8K 
[   ]lxqt-wayland-session-0.1.0-r0.apk2025-01-11 11:33 332K 
[   ]lxqt-wayland-session-doc-0.1.0-r0.apk2025-01-11 11:33 26K 
[   ]lynis-3.1.1-r0.apk2024-03-25 13:20 271K 
[   ]lynis-bash-completion-3.1.1-r0.apk2024-03-25 13:20 2.7K 
[   ]lynis-doc-3.1.1-r0.apk2024-03-25 13:20 49K 
[   ]lyrics-in-terminal-1.7.0-r0.apk2025-01-03 18:12 38K 
[   ]lzfse-1.0-r0.apk2022-10-07 08:49 20K 
[   ]lzfse-dev-1.0-r0.apk2022-10-07 08:49 3.2K 
[   ]m2r2-0.3.3-r3.apk2024-08-30 23:44 12K 
[   ]m2r2-pyc-0.3.3-r3.apk2024-08-30 23:44 15K 
[   ]maddy-0.7.1-r6.apk2025-01-20 06:38 9.2M 
[   ]maddy-doc-0.7.1-r6.apk2025-01-20 06:38 2.1K 
[   ]maddy-openrc-0.7.1-r6.apk2025-01-20 06:38 1.7K 
[   ]maddy-vim-0.7.1-r6.apk2025-01-20 06:38 3.3K 
[   ]mage-1.13.0-r19.apk2025-01-20 06:38 1.4M 
[   ]maildir2rss-0.0.7-r1.apk2025-01-20 06:38 3.2M 
[   ]mailsec-check-0_git20210729-r22.apk2025-01-20 06:38 2.3M 
[   ]mailutils-3.18-r0.apk2025-01-09 07:02 219K 
[   ]mailutils-dev-3.18-r0.apk2025-01-09 07:02 6.5M 
[   ]mailutils-doc-3.18-r0.apk2025-01-09 07:02 160K 
[   ]mailutils-libs-3.18-r0.apk2025-01-09 07:02 523K 
[   ]mailutils-mh-3.18-r0.apk2025-01-09 07:02 1.4M 
[   ]mailutils-servers-3.18-r0.apk2025-01-09 07:02 79K 
[   ]makeclapman-2.4.4-r1.apk2025-01-20 06:38 1.2M 
[   ]makeclapman-doc-2.4.4-r1.apk2025-01-20 06:38 3.8K 
[   ]makeself-2.5.0-r0.apk2023-06-09 23:37 13K 
[   ]mangal-4.0.6-r14.apk2025-01-20 06:38 9.8M 
[   ]mangal-bash-completion-4.0.6-r14.apk2025-01-20 06:38 4.7K 
[   ]mangal-fish-completion-4.0.6-r14.apk2025-01-20 06:38 3.6K 
[   ]mangal-zsh-completion-4.0.6-r14.apk2025-01-20 06:38 3.7K 
[   ]mangr0ve-0.1.2-r0.apk2024-01-29 03:20 2.5K 
[   ]mangr0ve-doc-0.1.2-r0.apk2024-01-29 03:20 14K 
[   ]manifest-tool-2.1.7-r1.apk2025-01-20 06:38 3.9M 
[   ]manticore-6.3.8-r0.apk2024-12-04 03:52 5.9M 
[   ]manticore-converter-6.3.8-r0.apk2024-12-04 03:52 4.9M 
[   ]manticore-dev-6.3.8-r0.apk2024-12-04 03:52 4.3K 
[   ]manticore-doc-6.3.8-r0.apk2024-12-04 03:52 14K 
[   ]manticore-openrc-6.3.8-r0.apk2024-12-04 03:52 1.6K 
[   ]manticore-tools-6.3.8-r0.apk2024-12-04 03:52 19M 
[   ]mapnik-3.1.0-r29.apk2024-11-08 03:22 11M 
[   ]mapnik-dev-3.1.0-r29.apk2024-11-08 03:22 454K 
[   ]mapnik-doc-3.1.0-r29.apk2024-11-08 03:22 135K 
[   ]mapserver-8.4.0-r0.apk2025-01-20 06:38 1.3M 
[   ]mapserver-dev-8.4.0-r0.apk2025-01-20 06:38 540K 
[   ]marxan-4.0.7-r1.apk2022-10-25 15:04 543K 
[   ]masky-0.2.0-r1.apk2024-04-30 03:06 277K 
[   ]masky-pyc-0.2.0-r1.apk2024-04-30 03:06 64K 
[   ]materia-20210322-r1.apk2022-10-28 19:23 1.4K 
[   ]materia-chromium-20210322-r1.apk2022-10-28 19:23 5.4K 
[   ]materia-compact-20210322-r1.apk2022-10-28 19:23 1.4K 
[   ]materia-compact-chromium-20210322-r1.apk2022-10-28 19:23 5.5K 
[   ]materia-compact-gnome-shell-20210322-r1.apk2022-10-28 19:23 31K 
[   ]materia-compact-gtk2-20210322-r1.apk2022-10-28 19:23 38K 
[   ]materia-compact-gtk3-20210322-r1.apk2022-10-28 19:23 64K 
[   ]materia-dark-20210322-r1.apk2022-10-28 19:23 1.4K 
[   ]materia-dark-chromium-20210322-r1.apk2022-10-28 19:23 5.5K 
[   ]materia-dark-compact-20210322-r1.apk2022-10-28 19:23 1.5K 
[   ]materia-dark-compact-chromium-20210322-r1.apk2022-10-28 19:23 5.5K 
[   ]materia-dark-compact-gnome-shell-20210322-r1.apk2022-10-28 19:23 31K 
[   ]materia-dark-compact-gtk2-20210322-r1.apk2022-10-28 19:23 37K 
[   ]materia-dark-compact-gtk3-20210322-r1.apk2022-10-28 19:23 41K 
[   ]materia-dark-compact-kde-kvantum-20220823-r0.apk2023-03-20 09:35 1.2K 
[   ]materia-dark-gnome-shell-20210322-r1.apk2022-10-28 19:23 31K 
[   ]materia-dark-gtk2-20210322-r1.apk2022-10-28 19:23 37K 
[   ]materia-dark-gtk3-20210322-r1.apk2022-10-28 19:23 41K 
[   ]materia-dark-kde-konsole-20220823-r0.apk2023-03-20 09:35 1.6K 
[   ]materia-dark-kde-kvantum-20220823-r0.apk2023-03-20 09:35 30K 
[   ]materia-dark-kde-plasma-20220823-r0.apk2023-03-20 09:35 503K 
[   ]materia-dark-kde-yakuake-20220823-r0.apk2023-03-20 09:35 21K 
[   ]materia-gnome-shell-20210322-r1.apk2022-10-28 19:23 31K 
[   ]materia-gtk-theme-20210322-r1.apk2022-10-28 19:23 151K 
[   ]materia-gtk2-20210322-r1.apk2022-10-28 19:23 38K 
[   ]materia-gtk3-20210322-r1.apk2022-10-28 19:23 64K 
[   ]materia-kde-20220823-r0.apk2023-03-20 09:35 19K 
[   ]materia-kde-konsole-20220823-r0.apk2023-03-20 09:35 1.6K 
[   ]materia-kde-kvantum-20220823-r0.apk2023-03-20 09:35 30K 
[   ]materia-kde-plasma-20220823-r0.apk2023-03-20 09:35 1.7M 
[   ]materia-light-compact-kde-kvantum-20220823-r0.apk2023-03-20 09:35 1.2K 
[   ]materia-light-kde-kvantum-20220823-r0.apk2023-03-20 09:35 29K 
[   ]materia-light-kde-plasma-20220823-r0.apk2023-03-20 09:35 20K 
[   ]materia-light-kde-yakuake-20220823-r0.apk2023-03-20 09:35 21K 
[   ]mautrix-bluesky-0.1.0-r1.apk2025-01-20 06:38 8.1M 
[   ]mautrix-bluesky-doc-0.1.0-r1.apk2025-01-20 06:38 13K 
[   ]mautrix-bluesky-openrc-0.1.0-r1.apk2025-01-20 06:38 1.6K 
[   ]mautrix-discord-0.7.2-r1.apk2025-01-20 06:38 6.1M 
[   ]mautrix-discord-doc-0.7.2-r1.apk2025-01-20 06:38 13K 
[   ]mautrix-discord-openrc-0.7.2-r1.apk2025-01-20 06:38 1.6K 
[   ]mautrix-twitter-0.2.1-r1.apk2025-01-20 06:38 6.1M 
[   ]mautrix-twitter-doc-0.2.1-r1.apk2025-01-20 06:38 13K 
[   ]mautrix-twitter-openrc-0.2.1-r1.apk2025-01-20 06:38 1.6K 
[   ]mbrola-3.3-r0.apk2022-10-07 08:49 19K 
[   ]mcjoin-2.11-r0.apk2022-10-07 08:49 20K 
[   ]mcjoin-doc-2.11-r0.apk2022-10-07 08:49 53K 
[   ]mcman-0.4.5-r0.apk2024-07-17 14:37 2.7M 
[   ]mcman-doc-0.4.5-r0.apk2024-07-17 14:37 13K 
[   ]mcqd-1.0.0-r1.apk2023-08-19 21:23 12K 
[   ]mcqd-dev-1.0.0-r1.apk2023-08-19 21:23 3.8K 
[   ]mcron-1.0.0-r1.apk2024-11-29 13:38 336K 
[   ]mcron-doc-1.0.0-r1.apk2024-11-29 13:38 2.5K 
[   ]mcron-openrc-1.0.0-r1.apk2024-11-29 13:38 1.5K 
[   ]md5ha1-0_git20171202-r1.apk2022-10-25 15:04 8.3K 
[   ]mdbook-admonish-1.18.0-r0.apk2024-12-27 07:57 1.0M 
[   ]mdbook-alerts-0.7.0-r0.apk2025-01-11 14:41 726K 
[   ]mdbook-katex-0.9.2-r0.apk2025-01-25 22:46 1.1M 
[   ]mdbook-mermaid-0.14.0-r0.apk2024-12-23 18:32 1.6M 
[   ]mdbook-plantuml-0.8.0-r0.apk2024-07-16 07:17 895K 
[   ]mdcat-2.7.1-r0.apk2024-12-14 19:18 3.0M 
[   ]mdcat-bash-completion-2.7.1-r0.apk2024-12-14 19:18 1.9K 
[   ]mdcat-doc-2.7.1-r0.apk2024-12-14 19:18 5.8K 
[   ]mdcat-fish-completion-2.7.1-r0.apk2024-12-14 19:18 1.9K 
[   ]mdcat-zsh-completion-2.7.1-r0.apk2024-12-14 19:18 2.2K 
[   ]mdnsd-0.12-r1.apk2023-05-21 14:09 23K 
[   ]mdnsd-doc-0.12-r1.apk2023-05-21 14:09 14K 
[   ]mdnsd-libs-0.12-r1.apk2023-05-21 14:09 18K 
[   ]mdnsd-openrc-0.12-r1.apk2023-05-21 14:09 1.9K 
[   ]mdnsd-static-0.12-r1.apk2023-05-21 14:09 32K 
[   ]mdp-1.0.15-r1.apk2022-10-25 15:04 15K 
[   ]mdp-doc-1.0.15-r1.apk2022-10-25 15:04 3.4K 
[   ]mediascanner2-0.115-r1.apk2025-01-26 22:26 273K 
[   ]mediastreamer2-5.3.41-r0.apk2024-06-12 03:20 371K 
[   ]mediastreamer2-dev-5.3.41-r0.apk2024-06-12 03:20 112K 
[   ]mediastreamer2-doc-5.3.41-r0.apk2024-06-12 03:20 109K 
[   ]mediastreamer2-plugin-openh264-5.2.0_git20231020-r0.apk2024-04-30 03:06 12K 
[   ]mediastreamer2-plugin-x264-20200722-r6.apk2024-04-30 03:06 9.7K 
[   ]megatools-1.11.1.20241028-r0.apk2024-10-29 20:35 62K 
[   ]megatools-bash-completion-1.11.1.20241028-r0.apk2024-10-29 20:35 3.8K 
[   ]megatools-doc-1.11.1.20241028-r0.apk2024-10-29 20:35 52K 
[   ]megazeux-2.93b-r0.apk2024-09-12 20:12 1.2M 
[   ]megazeux-doc-2.93b-r0.apk2024-09-12 20:12 453K 
[   ]meli-0.8.10-r0.apk2024-12-16 12:18 4.6M 
[   ]meli-doc-0.8.10-r0.apk2024-12-16 12:18 47K 
[   ]memdump-1.01-r1.apk2022-10-25 15:04 4.8K 
[   ]memdump-doc-1.01-r1.apk2022-10-25 15:04 2.9K 
[   ]menumaker-0.99.14-r1.apk2022-10-14 21:59 111K 
[   ]mergerfs-2.40.2-r1.apk2024-10-22 01:03 288K 
[   ]mergerfs-doc-2.40.2-r1.apk2024-10-22 01:03 42K 
[   ]meson-tools-0.1-r2.apk2024-12-09 17:38 7.8K 
[   ]meson-tools-doc-0.1-r2.apk2024-12-09 17:38 8.0K 
[   ]mesonlsp-4.3.7-r0.apk2024-10-17 21:57 2.1M 
[   ]metalang99-1.13.3-r0.apk2023-05-21 14:09 54K 
[   ]milkytracker-1.04.00-r2.apk2024-03-25 13:20 1.0M 
[   ]milkytracker-doc-1.04.00-r2.apk2024-03-25 13:20 50K 
[   ]mimalloc1-1.8.6-r0.apk2024-05-20 02:09 66K 
[   ]mimalloc1-debug-1.8.6-r0.apk2024-05-20 02:09 182K 
[   ]mimalloc1-dev-1.8.6-r0.apk2024-05-20 02:09 858K 
[   ]mimalloc1-insecure-1.8.6-r0.apk2024-05-20 02:09 60K 
[   ]mimedefang-3.5-r0.apk2024-08-07 03:50 157K 
[   ]mimedefang-doc-3.5-r0.apk2024-08-07 03:50 81K 
[   ]mimeo-2023-r2.apk2024-08-07 03:50 28K 
[   ]mimeo-pyc-2023-r2.apk2024-08-07 03:50 41K 
[   ]minidyndns-1.3.0-r3.apk2021-10-19 23:12 12K 
[   ]minidyndns-doc-1.3.0-r3.apk2021-10-19 23:12 5.1K 
[   ]minidyndns-openrc-1.3.0-r3.apk2021-10-19 23:12 1.8K 
[   ]minimodem-0.24-r1.apk2022-10-25 15:04 19K 
[   ]minimodem-doc-0.24-r1.apk2022-10-25 15:04 4.9K 
[   ]minisatip-1.3.4-r0.apk2024-03-15 06:36 325K 
[   ]minisatip-openrc-1.3.4-r0.apk2024-03-15 06:36 1.6K 
[   ]mint-themes-2.1.1-r0.apk2023-06-12 17:06 1.9K 
[   ]mint-themes-doc-2.1.1-r0.apk2023-06-12 17:06 13K 
[   ]mint-x-icons-1.7.2-r0.apk2024-12-25 20:19 22M 
[   ]mint-x-icons-doc-1.7.2-r0.apk2024-12-25 20:19 7.1K 
[   ]mint-x-theme-2.1.1-r0.apk2023-06-12 17:06 2.1K 
[   ]mint-x-theme-gtk2-2.1.1-r0.apk2023-06-12 17:06 490K 
[   ]mint-x-theme-gtk3-2.1.1-r0.apk2023-06-12 17:06 603K 
[   ]mint-x-theme-gtk4-2.1.1-r0.apk2023-06-12 17:06 510K 
[   ]mint-x-theme-metacity-2.1.1-r0.apk2023-06-12 17:06 5.8K 
[   ]mint-x-theme-xfwm4-2.1.1-r0.apk2023-06-12 17:06 35K 
[   ]mint-y-icons-1.8.3-r0.apk2025-02-06 03:27 72M 
[   ]mint-y-icons-doc-1.8.3-r0.apk2025-02-06 03:27 11K 
[   ]mint-y-theme-2.1.1-r0.apk2023-06-12 17:06 3.9K 
[   ]mint-y-theme-gtk2-2.1.1-r0.apk2023-06-12 17:06 656K 
[   ]mint-y-theme-gtk3-2.1.1-r0.apk2023-06-12 17:06 1.8M 
[   ]mint-y-theme-gtk4-2.1.1-r0.apk2023-06-12 17:06 1.6M 
[   ]mint-y-theme-metacity-2.1.1-r0.apk2023-06-12 17:06 57K 
[   ]mint-y-theme-xfwm4-2.1.1-r0.apk2023-06-12 17:06 207K 
[   ]mir-2.15.0-r4.apk2024-10-12 10:24 1.7M 
[   ]mir-demos-2.15.0-r4.apk2024-10-12 10:24 125K 
[   ]mir-dev-2.15.0-r4.apk2024-10-12 10:24 6.1M 
[   ]mir-test-tools-2.15.0-r4.apk2024-10-12 10:24 221K 
[   ]mirrorhall-0.1.1-r1.apk2025-01-15 05:10 26K 
[   ]mjpg-streamer-0_git20210220-r1.apk2022-10-25 15:04 179K 
[   ]mkcert-1.4.4-r15.apk2025-01-20 06:38 1.5M 
[   ]mkdocs-bootstrap-1.1.1-r2.apk2024-08-07 03:50 28K 
[   ]mkdocs-bootstrap-pyc-1.1.1-r2.apk2024-08-07 03:50 1.5K 
[   ]mkdocs-bootstrap4-0.1.5-r5.apk2024-08-07 03:50 260K 
[   ]mkdocs-bootstrap4-pyc-0.1.5-r5.apk2024-08-07 03:50 1.5K 
[   ]mkdocs-bootstrap386-0.0.2-r5.apk2024-08-07 03:50 791K 
[   ]mkdocs-bootstrap386-pyc-0.0.2-r5.apk2024-08-07 03:50 1.6K 
[   ]mkdocs-bootswatch-1.1-r5.apk2024-08-07 03:50 538K 
[   ]mkdocs-bootswatch-pyc-1.1-r5.apk2024-08-07 03:50 4.5K 
[   ]mkdocs-cinder-1.2.0-r5.apk2024-08-07 03:50 248K 
[   ]mkdocs-cinder-pyc-1.2.0-r5.apk2024-08-07 03:50 1.5K 
[   ]mkdocs-cluster-0.0.9-r5.apk2024-08-07 03:50 651K 
[   ]mkdocs-cluster-pyc-0.0.9-r5.apk2024-08-07 03:50 1.6K 
[   ]mkdocs-gitbook-0.0.1-r5.apk2024-08-07 03:50 644K 
[   ]mkdocs-gitbook-pyc-0.0.1-r5.apk2024-08-07 03:50 1.6K 
[   ]mkdocs-ivory-0.4.6-r5.apk2024-08-07 03:50 11K 
[   ]mkdocs-ivory-pyc-0.4.6-r5.apk2024-08-07 03:50 1.5K 
[   ]mkdocs-rtd-dropdown-1.0.2-r5.apk2024-08-07 03:50 248K 
[   ]mkdocs-rtd-dropdown-pyc-1.0.2-r5.apk2024-08-07 03:50 1.6K 
[   ]mkdocs-windmill-1.0.5-r4.apk2024-08-07 03:50 944K 
[   ]mkdocs-windmill-pyc-1.0.5-r4.apk2024-08-07 03:50 1.5K 
[   ]mkg3a-0.5.0-r1.apk2022-10-25 15:04 12K 
[   ]mkg3a-doc-0.5.0-r1.apk2022-10-25 15:04 2.8K 
[   ]mkosi-25.3-r0.apk2025-02-01 23:43 251K 
[   ]mkosi-pyc-25.3-r0.apk2025-02-01 23:43 393K 
[   ]mkrundir-0.4.0-r1.apk2024-11-29 13:38 87K 
[   ]mktorrent-borg-0.9.9-r1.apk2022-10-07 08:50 8.4K 
[   ]mktorrent-borg-doc-0.9.9-r1.apk2022-10-07 08:50 2.2K 
[   ]mlxl-0.1-r0.apk2023-03-18 11:50 5.2K 
[   ]mm-1.4.2-r1.apk2021-07-27 10:12 6.6K 
[   ]mm-common-1.0.5-r0.apk2023-01-01 23:06 473K 
[   ]mm-common-doc-1.0.5-r0.apk2023-01-01 23:06 31K 
[   ]mm-dev-1.4.2-r1.apk2021-07-27 10:12 15K 
[   ]mm-doc-1.4.2-r1.apk2021-07-27 10:12 14K 
[   ]mmtc-0.3.2-r0.apk2023-12-14 17:55 477K 
[   ]mnamer-2.5.5-r1.apk2024-04-30 03:06 31K 
[   ]mnamer-pyc-2.5.5-r1.apk2024-04-30 03:06 60K 
[   ]mobpass-0.2-r6.apk2024-08-07 03:50 18K 
[   ]mobpass-pyc-0.2-r6.apk2024-08-07 03:50 5.0K 
[   ]mobroute-0.9.0-r1.apk2025-01-20 06:38 4.1M 
[   ]mobroute-doc-0.9.0-r1.apk2025-01-20 06:38 1.3M 
[   ]moccasin-0.1.3-r0.apk2024-10-19 11:19 1.8M 
[   ]moccasin-doc-0.1.3-r0.apk2024-10-19 11:19 5.1K 
[   ]mod_dnssd-0.6-r0.apk2024-10-18 19:50 8.0K 
[   ]modem-manager-gui-0.0.20-r0.apk2021-10-29 16:02 309K 
[   ]modem-manager-gui-doc-0.0.20-r0.apk2021-10-29 16:02 3.9M 
[   ]modem-manager-gui-lang-0.0.20-r0.apk2021-10-29 16:02 129K 
[   ]moe-1.14-r0.apk2024-01-21 04:29 105K 
[   ]moe-doc-1.14-r0.apk2024-01-21 04:29 19K 
[   ]moka-icon-theme-5.4.0-r2.apk2021-07-27 10:12 114M 
[   ]monetdb-11.33.11-r4.apk2023-05-01 23:45 2.3M 
[   ]monetdb-dev-11.33.11-r4.apk2023-05-01 23:45 77K 
[   ]monetdb-doc-11.33.11-r4.apk2023-05-01 23:45 321K 
[   ]mongo-cxx-driver-3.8.0-r0.apk2023-08-19 21:23 183K 
[   ]mongo-cxx-driver-dev-3.8.0-r0.apk2023-08-19 21:23 89K 
[   ]monopd-0.10.4-r0.apk2025-01-11 14:41 89K 
[   ]monopd-openrc-0.10.4-r0.apk2025-01-11 14:41 1.4K 
[   ]moon-buggy-1.0.51-r1.apk2022-10-25 15:04 31K 
[   ]moon-buggy-doc-1.0.51-r1.apk2022-10-25 15:04 6.9K 
[   ]moosefs-3.0.117-r2.apk2024-10-22 01:03 211K 
[   ]moosefs-cgi-3.0.117-r2.apk2024-10-22 01:03 63K 
[   ]moosefs-cgiserv-3.0.117-r2.apk2024-10-22 01:03 7.5K 
[   ]moosefs-cgiserv-openrc-3.0.117-r2.apk2024-10-22 01:03 1.7K 
[   ]moosefs-chunkserver-3.0.117-r2.apk2024-10-22 01:03 168K 
[   ]moosefs-chunkserver-openrc-3.0.117-r2.apk2024-10-22 01:03 1.4K 
[   ]moosefs-client-3.0.117-r2.apk2024-10-22 01:03 311K 
[   ]moosefs-doc-3.0.117-r2.apk2024-10-22 01:03 64K 
[   ]moosefs-master-3.0.117-r2.apk2024-10-22 01:03 294K 
[   ]moosefs-master-openrc-3.0.117-r2.apk2024-10-22 01:03 1.3K 
[   ]moosefs-metalogger-3.0.117-r2.apk2024-10-22 01:03 33K 
[   ]moosefs-metalogger-openrc-3.0.117-r2.apk2024-10-22 01:03 1.4K 
[   ]moosefs-static-3.0.117-r2.apk2024-10-22 01:03 1.6M 
[   ]motion-4.7.0-r0.apk2024-08-29 12:07 142K 
[   ]motion-doc-4.7.0-r0.apk2024-08-29 12:07 140K 
[   ]motion-lang-4.7.0-r0.apk2024-08-29 12:07 471K 
[   ]motion-openrc-4.7.0-r0.apk2024-08-29 12:07 2.0K 
[   ]mp3gain-1.6.2-r3.apk2025-01-03 18:12 31K 
[   ]mp3val-0.1.8-r1.apk2022-10-14 21:59 13K 
[   ]mpdcron-0.3-r1.apk2022-10-25 15:04 84K 
[   ]mpdcron-dev-0.3-r1.apk2022-10-25 15:04 95K 
[   ]mpdcron-doc-0.3-r1.apk2022-10-25 15:04 13K 
[   ]mpdcron-zsh-completion-0.3-r1.apk2022-10-25 15:04 2.6K 
[   ]mpdris2-0.9.1-r3.apk2022-10-07 08:50 15K 
[   ]mpdris2-doc-0.9.1-r3.apk2022-10-07 08:50 15K 
[   ]mpdris2-lang-0.9.1-r3.apk2022-10-07 08:50 2.1K 
[   ]mpdris2-rs-0.2.3-r0.apk2024-03-05 22:17 721K 
[   ]mpdris2-rs-doc-0.2.3-r0.apk2024-03-05 22:17 13K 
[   ]mpop-1.4.21-r0.apk2025-01-14 06:16 69K 
[   ]mpop-doc-1.4.21-r0.apk2025-01-14 06:16 33K 
[   ]mpop-lang-1.4.21-r0.apk2025-01-14 06:16 130K 
[   ]mpop-vim-1.4.21-r0.apk2025-01-14 06:16 2.4K 
[   ]mpv-sponsorblock-2.1.0-r0.apk2024-09-30 17:52 1.3M 
[   ]mqtt2prometheus-0.1.7-r12.apk2025-01-20 06:38 4.0M 
[   ]mrsh-0_git20210518-r1.apk2022-10-25 15:04 4.6K 
[   ]mrsh-dbg-0_git20210518-r1.apk2022-10-25 15:04 207K 
[   ]mrsh-dev-0_git20210518-r1.apk2022-10-25 15:04 9.7K 
[   ]mrsh-libs-0_git20210518-r1.apk2022-10-25 15:04 52K 
[   ]msgpuck-2.0-r1.apk2021-07-27 10:12 1.2K 
[   ]msgpuck-dev-2.0-r1.apk2021-07-27 10:12 32K 
[   ]msgpuck-doc-2.0-r1.apk2021-07-27 10:12 7.3K 
[   ]msh-2.5.0-r8.apk2025-01-20 06:38 2.6M 
[   ]msh-openrc-2.5.0-r8.apk2025-01-20 06:38 1.7K 
[   ]mspdebug-0.25-r1.apk2022-10-25 15:04 182K 
[   ]mspdebug-doc-0.25-r1.apk2022-10-25 15:04 14K 
[   ]mtg-2.1.7-r17.apk2025-01-20 06:38 4.1M 
[   ]mtg-openrc-2.1.7-r17.apk2025-01-20 06:38 1.6K 
[   ]mtree-portable-0_git20220519-r0.apk2024-06-20 16:36 26K 
[   ]mtree-portable-doc-0_git20220519-r0.apk2024-06-20 16:36 11K 
[   ]muon-0.3.1-r0.apk2025-02-04 15:26 272K 
[   ]muon-doc-0.3.1-r0.apk2025-02-04 15:26 73K 
[   ]muon-wrap-0.3.1-r0.apk2025-02-04 15:26 273K 
[   ]muse-4.2.1-r1.apk2024-04-30 03:06 6.0M 
[   ]muse-doc-4.2.1-r1.apk2024-04-30 03:06 4.1M 
[   ]musikcube-3.0.4-r1.apk2025-01-26 22:26 2.3M 
[   ]musikcube-dev-3.0.4-r1.apk2025-01-26 22:26 19K 
[   ]musikcube-plugin-all-3.0.4-r1.apk2025-01-26 22:26 1.0K 
[   ]musikcube-plugin-httpdatastream-3.0.4-r1.apk2025-01-26 22:26 74K 
[   ]musikcube-plugin-mpris-3.0.4-r1.apk2025-01-26 22:26 20K 
[   ]musikcube-plugin-openmpt-3.0.4-r1.apk2025-01-26 22:26 27K 
[   ]musikcube-plugin-server-3.0.4-r1.apk2025-01-26 22:26 367K 
[   ]musikcube-plugin-stockencoders-3.0.4-r1.apk2025-01-26 22:26 19K 
[   ]musikcube-plugin-supereqdsp-3.0.4-r1.apk2025-01-26 22:26 26K 
[   ]musikcube-plugin-taglibreader-3.0.4-r1.apk2025-01-26 22:26 34K 
[   ]mxclient-0_git20211002-r1.apk2022-10-25 15:04 71K 
[   ]mypaint-2.0.1-r1.apk2024-07-14 18:39 3.9M 
[   ]mypaint-lang-2.0.1-r1.apk2024-07-14 18:39 1.2M 
[   ]mypaint-pyc-2.0.1-r1.apk2024-07-14 18:39 1.1M 
[   ]n30f-2.0-r3.apk2022-10-25 15:04 6.1K 
[   ]nano-hare-0_git20231021-r0.apk2024-01-31 17:23 1.9K 
[   ]nauty-2.8.9-r0.apk2024-08-30 07:14 5.1M 
[   ]nauty-dev-2.8.9-r0.apk2024-08-30 07:14 5.9M 
[   ]nauty-libs-2.8.9-r0.apk2024-08-30 07:14 2.5M 
[   ]nb-7.15.1-r0.apk2025-02-04 04:52 151K 
[   ]nb-bash-completion-7.15.1-r0.apk2025-02-04 04:52 2.7K 
[   ]nb-doc-7.15.1-r0.apk2025-02-04 04:52 76K 
[   ]nb-fish-completion-7.15.1-r0.apk2025-02-04 04:52 2.5K 
[   ]nb-full-7.15.1-r0.apk2025-02-04 04:52 1.0K 
[   ]nb-zsh-completion-7.15.1-r0.apk2025-02-04 04:52 2.6K 
[   ]nbsdgames-5-r0.apk2022-05-07 01:02 86K 
[   ]nbsdgames-doc-5-r0.apk2022-05-07 01:02 9.4K 
[   ]ndpi-4.10-r0.apk2024-08-07 03:50 1.4M 
[   ]ndpi-dev-4.10-r0.apk2024-08-07 03:50 1.5M 
[   ]neard-0.19-r0.apk2023-09-19 21:53 139K 
[   ]neard-dev-0.19-r0.apk2023-09-19 21:53 11K 
[   ]neard-doc-0.19-r0.apk2023-09-19 21:53 5.3K 
[   ]neard-openrc-0.19-r0.apk2023-09-19 21:53 1.5K 
[   ]neko-2.3.0-r0.apk2024-11-20 08:30 458K 
[   ]neko-dev-2.3.0-r0.apk2024-11-20 08:30 10K 
[   ]neko-doc-2.3.0-r0.apk2024-11-20 08:30 20K 
[   ]neocmakelsp-0.8.14-r0.apk2025-01-12 20:35 1.7M 
[   ]neocmakelsp-bash-completion-0.8.14-r0.apk2025-01-12 20:35 2.0K 
[   ]neocmakelsp-doc-0.8.14-r0.apk2025-01-12 20:35 5.2K 
[   ]neocmakelsp-fish-completion-0.8.14-r0.apk2025-01-12 20:35 2.0K 
[   ]neocmakelsp-zsh-completion-0.8.14-r0.apk2025-01-12 20:35 2.2K 
[   ]neofetch-7.1.0-r2.apk2024-11-06 22:50 85K 
[   ]neofetch-doc-7.1.0-r2.apk2024-11-06 22:50 5.9K 
[   ]netdiscover-0.10-r0.apk2023-09-30 01:02 551K 
[   ]netdiscover-doc-0.10-r0.apk2023-09-30 01:02 22K 
[   ]netsed-1.3-r3.apk2022-10-25 15:04 8.7K 
[   ]netsurf-3.11-r0.apk2024-02-03 14:44 2.1M 
[   ]netsurf-doc-3.11-r0.apk2024-02-03 14:44 4.1K 
[   ]netsurf-framebuffer-3.11-r0.apk2024-02-03 14:44 2.8M 
[   ]netsurf-framebuffer-doc-3.11-r0.apk2024-02-03 14:44 3.6K 
[   ]newsyslog-1.2.0.91-r1.apk2023-06-02 02:25 17K 
[   ]newsyslog-doc-1.2.0.91-r1.apk2023-06-02 02:25 24K 
[   ]nextpnr-0.7-r0.apk2024-06-27 06:00 1.2K 
[   ]nextpnr-ecp5-0.7-r0.apk2024-06-27 06:00 25M 
[   ]nextpnr-generic-0.7-r0.apk2024-06-27 06:00 761K 
[   ]nextpnr-gowin-0.7-r0.apk2024-06-27 06:00 1.5M 
[   ]nextpnr-ice40-0.7-r0.apk2024-06-27 06:00 69M 
[   ]nfoview-2.0.1-r0.apk2024-05-19 16:05 39K 
[   ]nfoview-doc-2.0.1-r0.apk2024-05-19 16:05 7.8K 
[   ]nginx-ultimate-bad-bot-blocker-4.2023.10.4046-r1.apk2024-10-17 15:56 712K 
[   ]nginx-ultimate-bad-bot-blocker-doc-4.2023.10.4046-r1.apk2024-10-17 15:56 21K 
[   ]ngs-0.2.14-r0.apk2022-10-13 06:22 279K 
[   ]ngs-aws-0.2.14-r0.apk2022-10-13 06:22 32K 
[   ]ngs-vim-0.2.14-r0.apk2022-10-13 06:22 4.6K 
[   ]nicotine-plus-3.3.7-r0.apk2024-12-16 15:22 1.5M 
[   ]nicotine-plus-doc-3.3.7-r0.apk2024-12-16 15:22 2.3K 
[   ]nicotine-plus-lang-3.3.7-r0.apk2024-12-16 15:22 661K 
[   ]nicotine-plus-pyc-3.3.7-r0.apk2024-12-16 15:22 781K 
[   ]nitro-2.7_beta8-r2.apk2023-12-14 17:55 538K 
[   ]nitro-dev-2.7_beta8-r2.apk2023-12-14 17:55 190K 
[   ]nkk-0_git20221010-r0.apk2023-02-23 21:20 12K 
[   ]nkk-dev-0_git20221010-r0.apk2023-02-23 21:20 2.6K 
[   ]nkk-doc-0_git20221010-r0.apk2023-02-23 21:20 6.7K 
[   ]nlopt-2.10.0-r0.apk2025-02-06 06:25 184K 
[   ]nlopt-dev-2.10.0-r0.apk2025-02-06 06:25 12K 
[   ]nlopt-doc-2.10.0-r0.apk2025-02-06 06:25 23K 
[   ]nlopt-guile-2.10.0-r0.apk2025-02-06 06:25 42K 
[   ]nm-tray-0.5.0-r0.apk2024-01-28 23:14 101K 
[   ]nm-tray-lang-0.5.0-r0.apk2024-01-28 23:14 27K 
[   ]nmap-parse-output-1.5.1-r0.apk2022-06-12 23:57 21K 
[   ]nmap-parse-output-bash-completion-1.5.1-r0.apk2022-06-12 23:57 1.7K 
[   ]nmap-parse-output-doc-1.5.1-r0.apk2022-06-12 23:57 807K 
[   ]nmon-16q-r0.apk2024-04-30 05:48 71K 
[   ]noblenote-1.2.1-r1.apk2022-10-25 15:04 409K 
[   ]noggin-model-0.1-r0.apk2023-06-02 02:25 12M 
[   ]noggin-model-lightweight-0.1-r0.apk2023-06-02 02:25 1.7M 
[   ]noice-0.8-r1.apk2022-10-25 15:04 8.6K 
[   ]noice-doc-0.8-r1.apk2022-10-25 15:04 3.1K 
[   ]nom-2.6.1-r1.apk2025-01-20 06:38 6.5M 
[   ]normaliz-3.10.4-r0.apk2024-10-30 18:45 40K 
[   ]normaliz-dev-3.10.4-r0.apk2024-10-30 18:45 72K 
[   ]normaliz-libs-3.10.4-r0.apk2024-10-30 18:45 2.5M 
[   ]notification-daemon-3.20.0-r0.apk2024-05-19 15:16 61K 
[   ]nsnake-3.0.0-r0.apk2022-04-29 12:40 7.9K 
[   ]nsnake-doc-3.0.0-r0.apk2022-04-29 12:40 2.6K 
[   ]nsq-1.3.0-r6.apk2025-01-20 06:38 23M 
[   ]ntpd-rs-1.4.0-r0.apk2024-12-14 23:22 2.3M 
[   ]ntpd-rs-doc-1.4.0-r0.apk2024-12-14 23:22 22K 
[   ]ntpd-rs-openrc-1.4.0-r0.apk2024-12-14 23:22 1.6K 
[   ]nuklear-4.12.0-r0.apk2024-03-03 08:17 220K 
[   ]nuklear-doc-4.12.0-r0.apk2024-03-03 08:17 42K 
[   ]nullmailer-2.2-r4.apk2021-12-22 13:37 84K 
[   ]nullmailer-doc-2.2-r4.apk2021-12-22 13:37 10K 
[   ]nullmailer-openrc-2.2-r4.apk2021-12-22 13:37 1.6K 
[   ]numbat-1.9.0-r0.apk2024-02-06 04:44 1.0M 
[   ]numbat-doc-1.9.0-r0.apk2024-02-06 04:44 24K 
[   ]nuzzle-1.6-r0.apk2025-01-17 16:43 11K 
[   ]nuzzle-doc-1.6-r0.apk2025-01-17 16:43 2.9K 
[   ]nvim-cmp-0.0.0_git20221011-r1.apk2024-06-17 10:37 55K 
[   ]nvim-cmp-buffer-0.0.0_git20220810-r1.apk2024-06-17 10:37 7.5K 
[   ]nvim-cmp-buffer-doc-0.0.0_git20220810-r1.apk2024-06-17 10:37 3.9K 
[   ]nvim-cmp-cmdline-0.0.0_git20220902-r1.apk2024-06-17 10:37 3.1K 
[   ]nvim-cmp-cmdline-doc-0.0.0_git20220902-r1.apk2024-06-17 10:37 1.5K 
[   ]nvim-cmp-doc-0.0.0_git20221011-r1.apk2024-06-17 10:37 10K 
[   ]nvim-cmp-lsp-0.0.0_git20220516-r1.apk2024-06-17 10:37 3.2K 
[   ]nvim-cmp-lsp-doc-0.0.0_git20220516-r1.apk2024-06-17 10:37 2.3K 
[   ]nvim-cmp-luasnip-0.0.0_git20220501-r1.apk2024-06-17 10:37 3.3K 
[   ]nvim-cmp-luasnip-doc-0.0.0_git20220501-r1.apk2024-06-17 10:37 1.8K 
[   ]nvim-cmp-path-0.0.0_git20221002-r1.apk2024-06-17 10:37 3.5K 
[   ]nvim-cmp-path-doc-0.0.0_git20221002-r1.apk2024-06-17 10:37 1.7K 
[   ]nvim-gruvbox-0.0.0_git20221212-r1.apk2024-06-17 10:37 9.9K 
[   ]nvim-gruvbox-doc-0.0.0_git20221212-r1.apk2024-06-17 10:37 2.5K 
[   ]nvim-packer-0.0.0_git20220910-r1.apk2024-06-17 10:37 45K 
[   ]nvim-packer-doc-0.0.0_git20220910-r1.apk2024-06-17 10:37 21K 
[   ]nvim-treesitter-0.9.3-r0.apk2024-11-04 04:07 388K 
[   ]nvim-treesitter-doc-0.9.3-r0.apk2024-11-04 04:07 29K 
[   ]nvim-web-devicons-0.100_git20241011-r0.apk2024-11-11 04:58 27K 
[   ]nvim-web-devicons-doc-0.100_git20241011-r0.apk2024-11-11 04:58 6.9K 
[   ]nvimpager-0.12.0-r0.apk2023-06-22 12:22 12K 
[   ]nvimpager-doc-0.12.0-r0.apk2023-06-22 12:22 4.2K 
[   ]nvimpager-zsh-completion-0.12.0-r0.apk2023-06-22 12:22 1.6K 
[   ]nvtop-3.1.0-r0.apk2024-03-03 08:17 58K 
[   ]nvtop-doc-3.1.0-r0.apk2024-03-03 08:17 3.3K 
[   ]nwg-bar-0.1.6-r6.apk2025-01-20 06:38 1.5M 
[   ]nwg-displays-0.3.13-r1.apk2024-04-30 03:06 23K 
[   ]nwg-displays-pyc-0.3.13-r1.apk2024-04-30 03:06 34K 
[   ]nwg-dock-0.3.9-r7.apk2025-01-20 06:38 1.6M 
[   ]nwg-panel-0.9.62-r0.apk2025-01-25 00:05 275K 
[   ]nwg-panel-doc-0.9.62-r0.apk2025-01-25 00:05 4.0K 
[   ]nwg-panel-pyc-0.9.62-r0.apk2025-01-25 00:05 252K 
[   ]nymphcast-mediaserver-0.1-r3.apk2024-09-18 10:36 126K 
[   ]nymphcast-mediaserver-nftables-0.1-r3.apk2024-09-18 10:36 1.4K 
[   ]nzbget-24.5-r0.apk2024-12-26 05:50 4.8M 
[   ]nzbget-openrc-24.5-r0.apk2024-12-26 05:50 1.8K 
[   ]oauth2-proxy-7.6.0-r7.apk2025-01-20 06:38 7.5M 
[   ]oauth2-proxy-openrc-7.6.0-r7.apk2025-01-20 06:38 1.8K 
[   ]objconv-2.52_git20210213-r2.apk2022-10-25 15:04 240K 
[   ]oblibs-0.3.2.1-r0.apk2025-01-25 17:40 30K 
[   ]oblibs-dev-0.3.2.1-r0.apk2025-01-25 17:40 81K 
[   ]ocfs2-tools-1.8.7-r4.apk2024-10-22 01:03 1.1M 
[   ]ocfs2-tools-dev-1.8.7-r4.apk2024-10-22 01:03 45K 
[   ]ocfs2-tools-doc-1.8.7-r4.apk2024-10-22 01:03 69K 
[   ]octoprint-1.10.3-r0.apk2024-12-26 05:50 3.0M 
[   ]octoprint-creality2xfix-0.0.4-r2.apk2024-10-02 20:19 4.5K 
[   ]octoprint-creality2xfix-pyc-0.0.4-r2.apk2024-10-02 20:19 3.1K 
[   ]octoprint-filecheck-2024.3.27-r1.apk2024-10-02 20:19 28K 
[   ]octoprint-filecheck-pyc-2024.3.27-r1.apk2024-10-02 20:19 11K 
[   ]octoprint-firmwarecheck-2021.10.11-r2.apk2024-10-02 20:19 29K 
[   ]octoprint-firmwarecheck-pyc-2021.10.11-r2.apk2024-10-02 20:19 17K 
[   ]octoprint-openrc-1.10.3-r0.apk2024-12-26 05:50 1.4K 
[   ]octoprint-pisupport-2023.10.10-r1.apk2024-10-02 20:19 31K 
[   ]octoprint-pisupport-pyc-2023.10.10-r1.apk2024-10-02 20:19 13K 
[   ]octoprint-pyc-1.10.3-r0.apk2024-12-26 05:50 1.2M 
[   ]ode-0.16.6-r0.apk2025-01-20 06:38 972K 
[   ]oil-0.21.0-r0.apk2024-03-16 00:15 1.5M 
[   ]oil-doc-0.21.0-r0.apk2024-03-16 00:15 6.7K 
[   ]oils-for-unix-0.26.0-r1.apk2025-02-02 06:05 893K 
[   ]oils-for-unix-bash-0.26.0-r1.apk2025-02-02 06:05 1.2K 
[   ]oils-for-unix-binsh-0.26.0-r1.apk2025-02-02 06:05 1.2K 
[   ]oils-for-unix-doc-0.26.0-r1.apk2025-02-02 06:05 6.7K 
[   ]ol-2.4-r0.apk2023-03-28 09:42 1.0M 
[   ]ol-doc-2.4-r0.apk2023-03-28 09:42 2.3K 
[   ]olsrd-0.9.8-r3.apk2024-09-27 04:03 167K 
[   ]olsrd-doc-0.9.8-r3.apk2024-09-27 04:03 25K 
[   ]olsrd-openrc-0.9.8-r3.apk2024-09-27 04:03 1.6K 
[   ]olsrd-plugins-0.9.8-r3.apk2024-09-27 04:03 181K 
[   ]opcr-policy-0.3.0-r0.apk2025-02-06 03:27 8.2M 
[   ]openapi-tui-0.9.4-r1.apk2024-08-31 13:06 3.8M 
[   ]openapi-validator-1.19.2-r0.apk2024-08-16 03:55 9.9M 
[   ]opendht-3.1.11-r0.apk2025-01-27 22:08 186K 
[   ]opendht-dev-3.1.11-r0.apk2025-01-27 22:08 71K 
[   ]opendht-doc-3.1.11-r0.apk2025-01-27 22:08 2.8K 
[   ]opendht-libs-3.1.11-r0.apk2025-01-27 22:08 594K 
[   ]openfortivpn-1.22.1-r0.apk2024-12-12 00:30 41K 
[   ]openfortivpn-doc-1.22.1-r0.apk2024-12-12 00:30 6.0K 
[   ]openfpgaloader-0.11.0-r0.apk2023-12-14 17:55 2.0M 
[   ]openjdk22-22.0.2_p9-r2.apk2024-10-24 12:59 1.2K 
[   ]openjdk22-demos-22.0.2_p9-r2.apk2024-10-24 12:59 5.2M 
[   ]openjdk22-doc-22.0.2_p9-r2.apk2024-10-24 12:59 187K 
[   ]openjdk22-jdk-22.0.2_p9-r2.apk2024-10-24 12:59 6.6M 
[   ]openjdk22-jmods-22.0.2_p9-r2.apk2024-10-24 12:59 76M 
[   ]openjdk22-jre-22.0.2_p9-r2.apk2024-10-24 12:59 1.1M 
[   ]openjdk22-jre-headless-22.0.2_p9-r2.apk2024-10-24 12:59 62M 
[   ]openjdk22-src-22.0.2_p9-r2.apk2024-10-24 12:59 48M 
[   ]openjdk22-static-libs-22.0.2_p9-r2.apk2024-10-24 12:59 35M 
[   ]openjdk23-23.0.2_p7-r0.apk2025-02-07 06:46 1.2K 
[   ]openjdk23-demos-23.0.2_p7-r0.apk2025-02-07 06:46 5.2M 
[   ]openjdk23-doc-23.0.2_p7-r0.apk2025-02-07 06:46 188K 
[   ]openjdk23-jdk-23.0.2_p7-r0.apk2025-02-07 06:46 6.7M 
[   ]openjdk23-jmods-23.0.2_p7-r0.apk2025-02-07 06:46 80M 
[   ]openjdk23-jre-23.0.2_p7-r0.apk2025-02-07 06:46 1.1M 
[   ]openjdk23-jre-headless-23.0.2_p7-r0.apk2025-02-07 06:46 66M 
[   ]openjdk23-src-23.0.2_p7-r0.apk2025-02-07 06:46 48M 
[   ]openjdk23-static-libs-23.0.2_p7-r0.apk2025-02-07 06:46 34M 
[   ]openocd-esp32-0_git20230921-r5.apk2024-09-30 14:42 1.8M 
[   ]openocd-esp32-dev-0_git20230921-r5.apk2024-09-30 14:42 3.1K 
[   ]openocd-esp32-doc-0_git20230921-r5.apk2024-09-30 14:42 3.0K 
[   ]openocd-esp32-udev-rules-0_git20230921-r5.apk2024-09-30 14:42 3.2K 
[   ]openocd-git-0_git20240113-r1.apk2024-09-30 14:42 1.7M 
[   ]openocd-git-cmd-openocd-0_git20240113-r1.apk2024-09-30 14:42 1.2K 
[   ]openocd-git-dbg-0_git20240113-r1.apk2024-09-30 14:42 4.0M 
[   ]openocd-git-dev-0_git20240113-r1.apk2024-09-30 14:42 3.2K 
[   ]openocd-git-doc-0_git20240113-r1.apk2024-09-30 14:42 3.0K 
[   ]openocd-git-udev-rules-0_git20240113-r1.apk2024-09-30 14:42 3.2K 
[   ]openocd-riscv-0_git20230104-r2.apk2024-09-30 14:42 1.5M 
[   ]openocd-riscv-dev-0_git20230104-r2.apk2024-09-30 14:42 3.5K 
[   ]openocd-riscv-doc-0_git20230104-r2.apk2024-09-30 14:42 3.0K 
[   ]openocd-riscv-udev-rules-0_git20230104-r2.apk2024-09-30 14:42 3.0K 
[   ]opensbi-spacemit-1.3.2.0.4-r0.apk2024-12-19 21:32 262K 
[   ]openscap-daemon-0.1.10-r9.apk2024-04-30 03:06 60K 
[   ]openscap-daemon-doc-0.1.10-r9.apk2024-04-30 03:06 17K 
[   ]openscap-daemon-pyc-0.1.10-r9.apk2024-04-30 03:06 102K 
[   ]openslide-3.4.1-r3.apk2023-08-19 21:23 80K 
[   ]openslide-dev-3.4.1-r3.apk2023-08-19 21:23 6.6K 
[   ]openslide-doc-3.4.1-r3.apk2023-08-19 21:23 4.7K 
[   ]openslide-tools-3.4.1-r3.apk2023-08-19 21:23 9.0K 
[   ]opensmtpd-filter-dkimsign-0.6-r1.apk2022-10-07 08:50 13K 
[   ]opensmtpd-filter-dkimsign-doc-0.6-r1.apk2022-10-07 08:50 3.3K 
[   ]openswitcher-0.5.0-r4.apk2024-09-30 14:42 148K 
[   ]openswitcher-proxy-0.5.0-r4.apk2024-09-30 14:42 9.1K 
[   ]openswitcher-proxy-openrc-0.5.0-r4.apk2024-09-30 14:42 1.8K 
[   ]opentelemetry-cpp-1.11.0-r5.apk2024-11-12 01:48 556K 
[   ]opentelemetry-cpp-dev-1.11.0-r5.apk2024-11-12 01:48 323K 
[   ]opentelemetry-cpp-exporter-otlp-common-1.11.0-r5.apk2024-11-12 01:48 32K 
[   ]opentelemetry-cpp-exporter-otlp-grpc-1.11.0-r5.apk2024-11-12 01:48 33K 
[   ]opentelemetry-cpp-exporter-otlp-http-1.11.0-r5.apk2024-11-12 01:48 69K 
[   ]opentelemetry-cpp-exporter-zipkin-1.11.0-r5.apk2024-11-12 01:48 49K 
[   ]openttd-14.1-r0.apk2024-08-07 03:50 6.8M 
[   ]openttd-doc-14.1-r0.apk2024-08-07 03:50 264K 
[   ]openttd-lang-14.1-r0.apk2024-08-07 03:50 3.5M 
[   ]openttd-opengfx-7.1-r0.apk2021-09-25 22:16 3.3M 
[   ]openttd-openmsx-0.4.2-r0.apk2021-10-17 15:42 129K 
[   ]openttd-opensfx-1.0.3-r0.apk2021-11-06 23:06 11M 
[   ]openvpn3-3.8.5-r0.apk2024-05-20 09:42 397K 
[   ]openvpn3-dev-3.8.5-r0.apk2024-05-20 09:42 686K 
[   ]openwsman-2.7.2-r5.apk2024-06-10 13:55 52K 
[   ]openwsman-dev-2.7.2-r5.apk2024-06-10 13:55 57K 
[   ]openwsman-doc-2.7.2-r5.apk2024-06-10 13:55 2.1K 
[   ]openwsman-libs-2.7.2-r5.apk2024-06-10 13:55 325K 
[   ]opkg-0.7.0-r0.apk2024-09-29 03:59 9.7K 
[   ]opkg-dev-0.7.0-r0.apk2024-09-29 03:59 196K 
[   ]opkg-doc-0.7.0-r0.apk2024-09-29 03:59 7.4K 
[   ]opkg-libs-0.7.0-r0.apk2024-09-29 03:59 79K 
[   ]opkg-utils-0.7.0-r0.apk2024-09-29 03:59 25K 
[   ]opkg-utils-doc-0.7.0-r0.apk2024-09-29 03:59 3.4K 
[   ]opmsg-1.84-r1.apk2022-10-07 08:50 261K 
[   ]orage-4.20.0-r0.apk2025-01-31 16:09 573K 
[   ]orage-lang-4.20.0-r0.apk2025-01-31 16:09 1.2M 
[   ]osmctools-0.9-r0.apk2023-03-31 23:41 117K 
[   ]otf-atkinson-hyperlegible-2020.0514-r1.apk2024-09-28 17:58 101K 
[   ]otf-atkinson-hyperlegible-doc-2020.0514-r1.apk2024-09-28 17:58 47K 
[   ]otpclient-4.0.2-r0.apk2024-09-23 23:46 116K 
[   ]otpclient-doc-4.0.2-r0.apk2024-09-23 23:46 3.4K 
[   ]otrs-6.0.48-r2.apk2024-07-10 05:13 29M 
[   ]otrs-apache2-6.0.48-r2.apk2024-07-10 05:13 3.8K 
[   ]otrs-bash-completion-6.0.48-r2.apk2024-07-10 05:13 2.1K 
[   ]otrs-dev-6.0.48-r2.apk2024-07-10 05:13 3.9M 
[   ]otrs-doc-6.0.48-r2.apk2024-07-10 05:13 795K 
[   ]otrs-fastcgi-6.0.48-r2.apk2024-07-10 05:13 1.5K 
[   ]otrs-nginx-6.0.48-r2.apk2024-07-10 05:13 1.5K 
[   ]otrs-openrc-6.0.48-r2.apk2024-07-10 05:13 1.6K 
[   ]otrs-setup-6.0.48-r2.apk2024-07-10 05:13 107K 
[   ]ouch-0.5.1-r0.apk2023-12-24 17:18 1.1M 
[   ]ouch-bash-completion-0.5.1-r0.apk2023-12-24 17:18 2.0K 
[   ]ouch-doc-0.5.1-r0.apk2023-12-24 17:18 3.4K 
[   ]ouch-fish-completion-0.5.1-r0.apk2023-12-24 17:18 2.1K 
[   ]ouch-zsh-completion-0.5.1-r0.apk2023-12-24 17:18 2.6K 
[   ]ovn-24.03.1-r0.apk2024-04-30 03:06 7.2M 
[   ]ovn-dbg-24.03.1-r0.apk2024-04-30 03:06 25M 
[   ]ovn-dev-24.03.1-r0.apk2024-04-30 03:06 22M 
[   ]ovn-doc-24.03.1-r0.apk2024-04-30 03:06 512K 
[   ]ovn-openrc-24.03.1-r0.apk2024-04-30 03:06 3.9K 
[   ]ovos-audio-0.3.1-r0.apk2024-11-21 14:43 135K 
[   ]ovos-audio-pyc-0.3.1-r0.apk2024-11-21 14:43 36K 
[   ]ovos-core-0.2.17-r0.apk2024-11-25 13:43 369K 
[   ]ovos-core-pyc-0.2.17-r0.apk2024-11-25 13:43 206K 
[   ]ovos-gui-1.1.0-r0.apk2024-11-25 13:43 30K 
[   ]ovos-gui-pyc-1.1.0-r0.apk2024-11-25 13:43 38K 
[   ]ovos-messagebus-0.0.8-r0.apk2024-11-25 13:43 9.5K 
[   ]ovos-messagebus-pyc-0.0.8-r0.apk2024-11-25 13:43 6.4K 
[   ]ovos-phal-0.2.7-r0.apk2024-11-21 14:43 10K 
[   ]ovos-phal-pyc-0.2.7-r0.apk2024-11-21 14:43 6.9K 
[   ]ovos-skill-hello-world-0.0.4_alpha3-r1.apk2024-04-30 03:06 45K 
[   ]ovos-skill-hello-world-pyc-0.0.4_alpha3-r1.apk2024-04-30 03:06 3.7K 
[   ]ovpncc-0.1_rc1-r0.apk2023-03-13 13:19 12K 
[   ]ovpncc-doc-0.1_rc1-r0.apk2023-03-13 13:19 6.3K 
[   ]oxygen-icons-6.1.0-r0.apk2024-10-21 14:43 32M 
[   ]p0f-3.09b-r3.apk2024-09-23 03:50 79K 
[   ]p0f-doc-3.09b-r3.apk2024-09-23 03:50 25K 
[   ]p910nd-0.97-r2.apk2022-06-04 16:56 6.8K 
[   ]p910nd-doc-0.97-r2.apk2022-06-04 16:56 3.0K 
[   ]p910nd-openrc-0.97-r2.apk2022-06-04 16:56 1.8K 
[   ]pacparser-1.4.5-r1.apk2024-09-14 20:10 762K 
[   ]pacparser-dev-1.4.5-r1.apk2024-09-14 20:10 3.3K 
[   ]pacparser-doc-1.4.5-r1.apk2024-09-14 20:10 17K 
[   ]pam-krb5-4.11-r1.apk2024-09-09 13:49 22K 
[   ]pam-krb5-doc-4.11-r1.apk2024-09-09 13:49 23K 
[   ]pam_sqlite3-1.0.2-r2.apk2024-09-09 13:49 8.4K 
[   ]pamtester-0.1.2-r4.apk2024-09-24 11:50 8.7K 
[   ]pamtester-doc-0.1.2-r4.apk2024-09-24 11:50 2.6K 
[   ]pantalaimon-0.10.5-r4.apk2024-04-30 03:06 44K 
[   ]pantalaimon-doc-0.10.5-r4.apk2024-04-30 03:06 6.1K 
[   ]pantalaimon-pyc-0.10.5-r4.apk2024-04-30 03:06 83K 
[   ]pantalaimon-ui-0.10.5-r4.apk2024-04-30 03:06 1.4K 
[   ]paperkey-1.6-r2.apk2023-12-19 22:12 18K 
[   ]paperkey-doc-1.6-r2.apk2023-12-19 22:12 4.3K 
[   ]paprefs-1.2-r2.apk2024-11-25 10:47 30K 
[   ]paprefs-lang-1.2-r2.apk2024-11-25 10:47 38K 
[   ]par-1.53.0-r1.apk2022-10-25 15:04 13K 
[   ]par-doc-1.53.0-r1.apk2022-10-25 15:04 30K 
[   ]par2cmdline-turbo-1.2.0-r0.apk2024-12-11 01:14 211K 
[   ]par2cmdline-turbo-doc-1.2.0-r0.apk2024-12-11 01:14 5.1K 
[   ]parcellite-1.2.5-r0.apk2024-07-11 07:04 226K 
[   ]parcellite-doc-1.2.5-r0.apk2024-07-11 07:04 25K 
[   ]parcellite-lang-1.2.5-r0.apk2024-07-11 07:04 49K 
[   ]pari-2.17.1-r0.apk2024-12-26 05:50 585K 
[   ]pari-dev-2.17.1-r0.apk2024-12-26 05:50 105K 
[   ]pari-doc-2.17.1-r0.apk2024-12-26 05:50 924K 
[   ]pari-libs-2.17.1-r0.apk2024-12-26 05:50 4.4M 
[   ]parse-changelog-0.6.8-r0.apk2024-06-30 22:15 524K 
[   ]pash-2.3.0-r2.apk2022-10-25 15:04 4.0K 
[   ]pass2csv-1.1.1-r1.apk2024-04-30 03:06 8.1K 
[   ]pass2csv-pyc-1.1.1-r1.apk2024-04-30 03:06 7.2K 
[   ]passage-1.7.4_alpha2-r0.apk2024-12-26 05:50 7.8K 
[   ]passage-bash-completion-1.7.4_alpha2-r0.apk2024-12-26 05:50 2.7K 
[   ]passage-fish-completion-1.7.4_alpha2-r0.apk2024-12-26 05:50 2.5K 
[   ]passage-zsh-completion-1.7.4_alpha2-r0.apk2024-12-26 05:50 2.7K 
[   ]pasystray-0.8.2-r0.apk2024-06-28 07:24 46K 
[   ]pasystray-doc-0.8.2-r0.apk2024-06-28 07:24 3.1K 
[   ]pathvector-6.3.2-r9.apk2025-01-20 06:38 3.6M 
[   ]pcl-1.14.0-r2.apk2024-09-30 07:23 2.8M 
[   ]pcl-dev-1.14.0-r2.apk2024-09-30 07:23 1.5M 
[   ]pcl-libs-1.14.0-r2.apk2024-09-30 07:23 19M 
[   ]pdal-python-plugins-1.6.2-r0.apk2025-01-13 07:51 229K 
[   ]pdf2svg-0.2.3-r1.apk2022-10-25 15:04 3.9K 
[   ]pdfcpu-0.9.1-r1.apk2025-01-20 06:38 4.2M 
[   ]pdfcrack-0.20-r0.apk2022-12-22 22:13 26K 
[   ]pdm-2.18.2-r0.apk2024-09-30 23:38 227K 
[   ]pdm-pyc-2.18.2-r0.apk2024-09-30 23:38 482K 
[   ]pebble-le-0.3.0-r2.apk2024-12-14 23:22 64K 
[   ]pebble-le-dev-0.3.0-r2.apk2024-12-14 23:22 75K 
[   ]pebble-le-doc-0.3.0-r2.apk2024-12-14 23:22 3.4K 
[   ]peervpn-0.044-r5.apk2022-10-07 08:50 39K 
[   ]peervpn-openrc-0.044-r5.apk2022-10-07 08:50 1.5K 
[   ]peg-0.1.18-r1.apk2022-10-25 15:04 32K 
[   ]peg-doc-0.1.18-r1.apk2022-10-25 15:04 13K 
[   ]pegasus-frontend-16_alpha-r0.apk2024-06-23 07:32 1.3M 
[   ]pegasus-frontend-doc-16_alpha-r0.apk2024-06-23 07:32 16K 
[   ]percona-toolkit-3.5.4-r1.apk2024-09-22 11:39 1.8M 
[   ]percona-toolkit-doc-3.5.4-r1.apk2024-09-22 11:39 297K 
[   ]perl-adapter-async-0.019-r0.apk2024-01-17 17:50 7.9K 
[   ]perl-adapter-async-doc-0.019-r0.apk2024-01-17 17:50 17K 
[   ]perl-algorithm-backoff-0.010-r0.apk2024-04-30 03:06 9.3K 
[   ]perl-algorithm-backoff-doc-0.010-r0.apk2024-04-30 03:06 29K 
[   ]perl-algorithm-c3-0.11-r1.apk2023-07-06 19:21 5.4K 
[   ]perl-algorithm-c3-doc-0.11-r1.apk2023-07-06 19:21 4.8K 
[   ]perl-algorithm-cron-0.10-r4.apk2023-07-06 19:21 5.8K 
[   ]perl-algorithm-cron-doc-0.10-r4.apk2023-07-06 19:21 4.3K 
[   ]perl-algorithm-evolutionary-0.82.1-r0.apk2024-08-29 12:07 78K 
[   ]perl-algorithm-evolutionary-doc-0.82.1-r0.apk2024-08-29 12:07 147K 
[   ]perl-algorithm-permute-0.17-r0.apk2024-08-29 12:07 12K 
[   ]perl-algorithm-permute-doc-0.17-r0.apk2024-08-29 12:07 4.8K 
[   ]perl-aliased-0.34-r4.apk2023-07-06 19:21 5.4K 
[   ]perl-aliased-doc-0.34-r4.apk2023-07-06 19:21 5.4K 
[   ]perl-anyevent-dns-etchosts-0.0105-r0.apk2023-12-14 17:55 4.8K 
[   ]perl-anyevent-dns-etchosts-doc-0.0105-r0.apk2023-12-14 17:55 3.7K 
[   ]perl-anyevent-future-0.05-r0.apk2023-12-14 17:55 4.9K 
[   ]perl-anyevent-future-doc-0.05-r0.apk2023-12-14 17:55 5.2K 
[   ]perl-anyevent-riperedis-0.48-r0.apk2024-01-17 17:50 12K 
[   ]perl-anyevent-riperedis-doc-0.48-r0.apk2024-01-17 17:50 10K 
[   ]perl-archive-extract-0.88-r1.apk2023-07-06 19:21 15K 
[   ]perl-archive-extract-doc-0.88-r1.apk2023-07-06 19:21 6.6K 
[   ]perl-autobox-3.0.2-r0.apk2024-09-06 12:43 18K 
[   ]perl-autobox-doc-3.0.2-r0.apk2024-09-06 12:43 8.6K 
[   ]perl-b-hooks-op-check-0.22-r0.apk2024-09-25 18:24 6.3K 
[   ]perl-b-hooks-op-check-doc-0.22-r0.apk2024-09-25 18:24 3.4K 
[   ]perl-barcode-zbar-0.10-r3.apk2024-06-10 13:55 30K 
[   ]perl-barcode-zbar-doc-0.10-r3.apk2024-06-10 13:55 12K 
[   ]perl-bareword-filehandles-0.007-r0.apk2024-09-25 18:24 5.6K 
[   ]perl-bareword-filehandles-doc-0.007-r0.apk2024-09-25 18:24 2.9K 
[   ]perl-bind-config-parser-0.01-r5.apk2023-07-06 19:21 3.6K 
[   ]perl-bind-config-parser-doc-0.01-r5.apk2023-07-06 19:21 3.4K 
[   ]perl-bsd-resource-1.2911-r10.apk2024-06-10 13:55 19K 
[   ]perl-bsd-resource-doc-1.2911-r10.apk2024-06-10 13:55 7.6K 
[   ]perl-bytes-random-secure-0.29-r0.apk2024-01-17 17:50 14K 
[   ]perl-bytes-random-secure-doc-0.29-r0.apk2024-01-17 17:50 12K 
[   ]perl-cache-lru-0.04-r0.apk2024-01-17 17:50 2.7K 
[   ]perl-cache-lru-doc-0.04-r0.apk2024-01-17 17:50 3.0K 
[   ]perl-cairo-1.109-r4.apk2024-06-10 13:55 75K 
[   ]perl-cairo-doc-1.109-r4.apk2024-06-10 13:55 14K 
[   ]perl-cairo-gobject-1.005-r4.apk2024-06-10 13:55 6.1K 
[   ]perl-cairo-gobject-doc-1.005-r4.apk2024-06-10 13:55 2.7K 
[   ]perl-cgi-expand-2.05-r4.apk2023-07-06 19:21 6.6K 
[   ]perl-cgi-expand-doc-2.05-r4.apk2023-07-06 19:21 5.9K 
[   ]perl-check-unitcheck-0.13-r1.apk2024-06-10 13:55 5.6K 
[   ]perl-check-unitcheck-doc-0.13-r1.apk2024-06-10 13:55 3.3K 
[   ]perl-class-accessor-grouped-0.10014-r2.apk2023-07-06 19:21 12K 
[   ]perl-class-accessor-grouped-doc-0.10014-r2.apk2023-07-06 19:21 7.2K 
[   ]perl-class-c3-0.35-r1.apk2023-07-06 19:21 9.2K 
[   ]perl-class-c3-componentised-1.001002-r2.apk2023-07-06 19:21 5.3K 
[   ]perl-class-c3-componentised-doc-1.001002-r2.apk2023-07-06 19:21 5.1K 
[   ]perl-class-c3-doc-0.35-r1.apk2023-07-06 19:21 9.0K 
[   ]perl-class-inner-0.200001-r5.apk2023-12-17 23:56 3.7K 
[   ]perl-class-inner-doc-0.200001-r5.apk2023-12-17 23:56 3.9K 
[   ]perl-cli-osprey-0.08-r0.apk2024-12-28 05:59 12K 
[   ]perl-cli-osprey-doc-0.08-r0.apk2024-12-28 05:59 12K 
[   ]perl-color-ansi-util-0.165-r0.apk2024-04-30 03:06 7.0K 
[   ]perl-color-ansi-util-doc-0.165-r0.apk2024-04-30 03:06 4.9K 
[   ]perl-color-rgb-util-0.607-r0.apk2024-04-30 03:06 9.1K 
[   ]perl-color-rgb-util-doc-0.607-r0.apk2024-04-30 03:06 7.1K 
[   ]perl-conf-libconfig-1.0.3-r0.apk2024-06-11 16:32 24K 
[   ]perl-conf-libconfig-doc-1.0.3-r0.apk2024-06-11 16:32 5.2K 
[   ]perl-constant-defer-6-r5.apk2023-07-06 19:21 7.1K 
[   ]perl-constant-defer-doc-6-r5.apk2023-07-06 19:21 6.7K 
[   ]perl-constant-generate-0.17-r5.apk2023-07-06 19:21 8.5K 
[   ]perl-constant-generate-doc-0.17-r5.apk2023-07-06 19:21 6.8K 
[   ]perl-context-preserve-0.03-r4.apk2023-07-06 19:21 3.6K 
[   ]perl-context-preserve-doc-0.03-r4.apk2023-07-06 19:21 4.0K 
[   ]perl-cpan-changes-0.500004-r0.apk2024-06-12 03:20 13K 
[   ]perl-cpan-changes-doc-0.500004-r0.apk2024-06-12 03:20 18K 
[   ]perl-crypt-random-seed-0.03-r0.apk2024-01-17 17:50 11K 
[   ]perl-crypt-random-seed-doc-0.03-r0.apk2024-01-17 17:50 8.5K 
[   ]perl-crypt-saltedhash-0.09-r5.apk2023-07-06 19:21 6.7K 
[   ]perl-crypt-saltedhash-doc-0.09-r5.apk2023-07-06 19:21 6.1K 
[   ]perl-css-object-0.2.0-r0.apk2024-09-05 18:45 22K 
[   ]perl-css-object-doc-0.2.0-r0.apk2024-09-05 18:45 32K 
[   ]perl-daemon-control-0.001010-r2.apk2023-07-06 19:21 12K 
[   ]perl-daemon-control-doc-0.001010-r2.apk2023-07-06 19:21 8.0K 
[   ]perl-dancer-plugin-auth-extensible-1.00-r5.apk2023-07-06 19:21 15K 
[   ]perl-dancer-plugin-auth-extensible-doc-1.00-r5.apk2023-07-06 19:21 15K 
[   ]perl-dancer-plugin-dbic-0.2104-r5.apk2023-07-06 19:21 4.7K 
[   ]perl-dancer-plugin-dbic-doc-0.2104-r5.apk2023-07-06 19:21 5.1K 
[   ]perl-dancer-plugin-passphrase-2.0.1-r4.apk2023-07-06 19:21 9.4K 
[   ]perl-dancer-plugin-passphrase-doc-2.0.1-r4.apk2023-07-06 19:21 8.2K 
[   ]perl-dancer-session-cookie-0.30-r2.apk2023-07-06 19:21 5.2K 
[   ]perl-dancer-session-cookie-doc-0.30-r2.apk2023-07-06 19:21 3.9K 
[   ]perl-dancer2-1.1.2-r0.apk2024-12-28 05:59 163K 
[   ]perl-dancer2-doc-1.1.2-r0.apk2024-12-28 05:59 301K 
[   ]perl-data-checks-0.10-r0.apk2024-09-25 18:24 22K 
[   ]perl-data-checks-doc-0.10-r0.apk2024-09-25 18:24 7.9K 
[   ]perl-data-validate-domain-0.15-r0.apk2024-01-14 13:53 5.6K 
[   ]perl-data-validate-domain-doc-0.15-r0.apk2024-01-14 13:53 5.2K 
[   ]perl-data-validate-ip-0.31-r1.apk2023-07-06 19:21 8.6K 
[   ]perl-data-validate-ip-doc-0.31-r1.apk2023-07-06 19:21 5.6K 
[   ]perl-database-async-0.019-r0.apk2024-01-17 17:50 23K 
[   ]perl-database-async-doc-0.019-r0.apk2024-01-17 17:50 29K 
[   ]perl-database-async-engine-postgresql-1.005-r0.apk2024-09-25 19:32 14K 
[   ]perl-database-async-engine-postgresql-doc-1.005-r0.apk2024-09-25 19:32 9.1K 
[   ]perl-datetime-format-atom-1.8.0-r0.apk2025-01-05 16:08 2.9K 
[   ]perl-datetime-format-atom-doc-1.8.0-r0.apk2025-01-05 16:08 3.5K 
[   ]perl-datetime-format-flexible-0.37-r0.apk2025-01-01 15:19 18K 
[   ]perl-datetime-format-flexible-doc-0.37-r0.apk2025-01-01 15:19 12K 
[   ]perl-datetime-format-rfc3339-1.10.0-r0.apk2025-01-05 16:08 4.1K 
[   ]perl-datetime-format-rfc3339-doc-1.10.0-r0.apk2025-01-05 16:08 3.9K 
[   ]perl-datetime-timezone-alias-0.06-r0.apk2024-03-03 08:17 2.3K 
[   ]perl-datetime-timezone-alias-doc-0.06-r0.apk2024-03-03 08:17 7.4K 
[   ]perl-datetime-timezone-catalog-extend-0.3.3-r0.apk2024-09-05 18:45 12K 
[   ]perl-datetime-timezone-catalog-extend-doc-0.3.3-r0.apk2024-09-05 18:45 15K 
[   ]perl-dbicx-sugar-0.0200-r5.apk2023-07-06 19:21 5.6K 
[   ]perl-dbicx-sugar-doc-0.0200-r5.apk2023-07-06 19:21 5.1K 
[   ]perl-dbix-class-0.082844-r0.apk2025-01-16 18:34 355K 
[   ]perl-dbix-class-candy-0.005004-r0.apk2024-10-30 07:03 7.6K 
[   ]perl-dbix-class-candy-doc-0.005004-r0.apk2024-10-30 07:03 9.2K 
[   ]perl-dbix-class-doc-0.082844-r0.apk2025-01-16 18:34 420K 
[   ]perl-dbix-class-helpers-2.037000-r0.apk2024-11-17 06:00 47K 
[   ]perl-dbix-class-helpers-doc-2.037000-r0.apk2024-11-17 06:00 121K 
[   ]perl-dbix-connector-0.60-r0.apk2025-01-01 03:42 14K 
[   ]perl-dbix-connector-doc-0.60-r0.apk2025-01-01 03:42 22K 
[   ]perl-dbix-datasource-0.02-r5.apk2023-07-06 19:21 4.0K 
[   ]perl-dbix-datasource-doc-0.02-r5.apk2023-07-06 19:21 7.3K 
[   ]perl-dbix-introspector-0.001005-r4.apk2023-07-06 19:21 7.8K 
[   ]perl-dbix-introspector-doc-0.001005-r4.apk2023-07-06 19:21 8.2K 
[   ]perl-dbix-lite-0.36-r0.apk2025-01-01 03:42 18K 
[   ]perl-dbix-lite-doc-0.36-r0.apk2025-01-01 03:42 17K 
[   ]perl-devel-confess-0.009004-r0.apk2024-03-03 08:17 11K 
[   ]perl-devel-confess-doc-0.009004-r0.apk2024-03-03 08:17 6.4K 
[   ]perl-devel-leak-0.03-r13.apk2024-06-10 13:55 6.5K 
[   ]perl-devel-leak-doc-0.03-r13.apk2024-06-10 13:55 3.0K 
[   ]perl-devel-refcount-0.10-r1.apk2024-06-10 13:55 5.8K 
[   ]perl-devel-refcount-doc-0.10-r1.apk2024-06-10 13:55 4.0K 
[   ]perl-digest-bcrypt-1.212-r1.apk2023-07-06 19:21 5.3K 
[   ]perl-digest-bcrypt-doc-1.212-r1.apk2023-07-06 19:21 4.9K 
[   ]perl-digest-crc-0.24-r1.apk2024-06-10 13:55 8.9K 
[   ]perl-digest-crc-doc-0.24-r1.apk2024-06-10 13:55 2.9K 
[   ]perl-dns-unbound-0.29-r1.apk2024-06-10 13:55 23K 
[   ]perl-dns-unbound-anyevent-0.29-r1.apk2024-06-10 13:55 2.1K 
[   ]perl-dns-unbound-asyncquery-promisexs-0.29-r1.apk2024-06-10 13:55 1.7K 
[   ]perl-dns-unbound-doc-0.29-r1.apk2024-06-10 13:55 15K 
[   ]perl-dns-unbound-ioasync-0.29-r1.apk2024-06-10 13:55 2.2K 
[   ]perl-dns-unbound-mojo-0.29-r1.apk2024-06-10 13:55 2.4K 
[   ]perl-email-abstract-3.010-r0.apk2023-09-03 20:14 7.4K 
[   ]perl-email-abstract-doc-3.010-r0.apk2023-09-03 20:14 13K 
[   ]perl-email-mime-attachment-stripper-1.317-r5.apk2023-07-06 19:21 3.7K 
[   ]perl-email-mime-attachment-stripper-doc-1.317-r5.apk2023-07-06 19:21 3.6K 
[   ]perl-email-reply-1.204-r5.apk2023-07-06 19:21 5.9K 
[   ]perl-email-reply-doc-1.204-r5.apk2023-07-06 19:21 4.5K 
[   ]perl-ev-hiredis-0.07-r1.apk2024-06-10 13:55 12K 
[   ]perl-ev-hiredis-doc-0.07-r1.apk2024-06-10 13:55 3.9K 
[   ]perl-extutils-makemaker-7.70-r2.apk2024-03-03 08:17 174K 
[   ]perl-extutils-xsbuilder-0.28-r5.apk2023-07-06 19:21 43K 
[   ]perl-extutils-xsbuilder-doc-0.28-r5.apk2023-07-06 19:21 21K 
[   ]perl-feed-find-0.13-r0.apk2024-01-14 16:57 3.6K 
[   ]perl-feed-find-doc-0.13-r0.apk2024-01-14 16:57 3.5K 
[   ]perl-ffi-c-0.15-r0.apk2024-02-03 10:56 20K 
[   ]perl-ffi-c-doc-0.15-r0.apk2024-02-03 10:56 28K 
[   ]perl-ffi-platypus-2.10-r0.apk2024-12-19 09:30 178K 
[   ]perl-ffi-platypus-doc-2.10-r0.apk2024-12-19 09:30 146K 
[   ]perl-ffi-platypus-type-enum-0.06-r0.apk2024-02-03 10:56 4.9K 
[   ]perl-ffi-platypus-type-enum-doc-0.06-r0.apk2024-02-03 10:56 4.9K 
[   ]perl-file-mmagic-xs-0.09008-r4.apk2024-06-10 13:55 29K 
[   ]perl-file-mmagic-xs-doc-0.09008-r4.apk2024-06-10 13:55 3.9K 
[   ]perl-file-rename-2.02-r0.apk2024-01-01 13:23 7.3K 
[   ]perl-file-rename-doc-2.02-r0.apk2024-01-01 13:23 12K 
[   ]perl-flowd-0.9.1-r10.apk2024-06-10 13:55 23K 
[   ]perl-flowd-doc-0.9.1-r10.apk2024-06-10 13:55 2.9K 
[   ]perl-freezethaw-0.5001-r2.apk2023-07-06 19:21 9.6K 
[   ]perl-freezethaw-doc-0.5001-r2.apk2023-07-06 19:21 5.4K 
[   ]perl-full-1.004-r0.apk2024-10-15 12:04 6.9K 
[   ]perl-full-doc-1.004-r0.apk2024-10-15 12:04 10K 
[   ]perl-future-asyncawait-hooks-0.02-r0.apk2024-09-25 18:24 7.7K 
[   ]perl-future-asyncawait-hooks-doc-0.02-r0.apk2024-09-25 18:24 3.0K 
[   ]perl-future-http-0.17-r0.apk2024-04-30 03:06 9.0K 
[   ]perl-future-http-doc-0.17-r0.apk2024-04-30 03:06 15K 
[   ]perl-future-q-0.120-r0.apk2024-01-19 01:32 9.3K 
[   ]perl-future-q-doc-0.120-r0.apk2024-01-19 01:32 8.9K 
[   ]perl-future-queue-0.52-r0.apk2024-01-17 17:50 3.8K 
[   ]perl-future-queue-doc-0.52-r0.apk2024-01-17 17:50 4.0K 
[   ]perl-gearman-2.004.015-r3.apk2024-01-04 02:15 27K 
[   ]perl-gearman-doc-2.004.015-r3.apk2024-01-04 02:15 20K 
[   ]perl-getopt-long-descriptive-0.116-r0.apk2025-01-01 03:42 14K 
[   ]perl-getopt-long-descriptive-doc-0.116-r0.apk2025-01-01 03:42 11K 
[   ]perl-getopt-tabular-0.3-r4.apk2023-07-06 19:21 23K 
[   ]perl-getopt-tabular-doc-0.3-r4.apk2023-07-06 19:21 16K 
[   ]perl-git-raw-0.90-r2.apk2024-11-25 10:47 170K 
[   ]perl-git-raw-doc-0.90-r2.apk2024-11-25 10:47 117K 
[   ]perl-git-repository-1.325-r0.apk2024-02-05 17:10 16K 
[   ]perl-git-repository-doc-1.325-r0.apk2024-02-05 17:10 31K 
[   ]perl-git-version-compare-1.005-r0.apk2024-02-05 17:10 5.1K 
[   ]perl-git-version-compare-doc-1.005-r0.apk2024-02-05 17:10 4.6K 
[   ]perl-glib-ex-objectbits-17-r0.apk2024-05-20 10:14 15K 
[   ]perl-glib-ex-objectbits-doc-17-r0.apk2024-05-20 10:14 22K 
[   ]perl-glib-object-introspection-0.051-r1.apk2024-06-10 13:55 58K 
[   ]perl-glib-object-introspection-doc-0.051-r1.apk2024-06-10 13:55 11K 
[   ]perl-graphql-client-0.605-r0.apk2024-01-19 00:56 6.9K 
[   ]perl-graphql-client-cli-0.605-r0.apk2024-01-19 00:56 7.6K 
[   ]perl-graphql-client-doc-0.605-r0.apk2024-01-19 00:56 14K 
[   ]perl-gtk2-1.24993-r6.apk2024-06-10 13:55 868K 
[   ]perl-gtk2-doc-1.24993-r6.apk2024-06-10 13:55 670K 
[   ]perl-gtk2-ex-listmodelconcat-11-r4.apk2023-07-06 19:21 12K 
[   ]perl-gtk2-ex-listmodelconcat-doc-11-r4.apk2023-07-06 19:21 7.0K 
[   ]perl-gtk2-ex-widgetbits-48-r3.apk2023-07-06 19:21 65K 
[   ]perl-gtk2-ex-widgetbits-doc-48-r3.apk2023-07-06 19:21 81K 
[   ]perl-gtk3-0.038-r1.apk2023-07-06 19:21 19K 
[   ]perl-gtk3-doc-0.038-r1.apk2023-07-06 19:21 8.9K 
[   ]perl-guard-1.023-r9.apk2024-06-10 13:55 8.0K 
[   ]perl-guard-doc-1.023-r9.apk2024-06-10 13:55 5.0K 
[   ]perl-hash-ordered-0.014-r0.apk2024-01-19 01:32 9.5K 
[   ]perl-hash-ordered-doc-0.014-r0.apk2024-01-19 01:32 19K 
[   ]perl-html-object-0.5.1-r0.apk2024-09-05 18:45 348K 
[   ]perl-html-object-doc-0.5.1-r0.apk2024-09-05 18:45 472K 
[   ]perl-html-selector-xpath-0.28-r0.apk2024-03-03 08:17 6.0K 
[   ]perl-html-selector-xpath-doc-0.28-r0.apk2024-03-03 08:17 3.8K 
[   ]perl-html-tableextract-2.15-r4.apk2023-07-06 19:21 17K 
[   ]perl-html-tableextract-doc-2.15-r4.apk2023-07-06 19:21 9.7K 
[   ]perl-http-thin-0.006-r0.apk2024-01-13 13:00 2.8K 
[   ]perl-http-thin-doc-0.006-r0.apk2024-01-13 13:00 3.2K 
[   ]perl-http-xsheaders-0.400005-r1.apk2024-06-10 13:55 20K 
[   ]perl-http-xsheaders-doc-0.400005-r1.apk2024-06-10 13:55 6.1K 
[   ]perl-i18n-langinfo-wide-9-r4.apk2023-07-06 19:21 4.0K 
[   ]perl-i18n-langinfo-wide-doc-9-r4.apk2023-07-06 19:21 3.8K 
[   ]perl-indirect-0.39-r1.apk2024-06-10 13:55 15K 
[   ]perl-indirect-doc-0.39-r1.apk2024-06-10 13:55 6.1K 
[   ]perl-io-lambda-1.34-r0.apk2024-10-09 05:16 75K 
[   ]perl-io-lambda-doc-1.34-r0.apk2024-10-09 05:16 68K 
[   ]perl-io-sessiondata-1.03-r3.apk2023-07-06 19:21 5.5K 
[   ]perl-json-maybeutf8-2.000-r0.apk2024-01-17 17:50 2.9K 
[   ]perl-json-maybeutf8-doc-2.000-r0.apk2024-01-17 17:50 3.3K 
[   ]perl-json-path-1.0.6-r0.apk2024-04-30 03:06 16K 
[   ]perl-json-path-doc-1.0.6-r0.apk2024-04-30 03:06 12K 
[   ]perl-json-validator-5.14-r0.apk2024-01-14 13:53 59K 
[   ]perl-json-validator-doc-5.14-r0.apk2024-01-14 13:53 33K 
[   ]perl-lib-abs-0.95-r0.apk2024-08-29 12:07 3.6K 
[   ]perl-lib-abs-doc-0.95-r0.apk2024-08-29 12:07 3.7K 
[   ]perl-libapreq2-2.17-r2.apk2024-06-10 13:55 98K 
[   ]perl-libapreq2-dev-2.17-r2.apk2024-06-10 13:55 83K 
[   ]perl-libapreq2-doc-2.17-r2.apk2024-06-10 13:55 37K 
[   ]perl-libintl-perl-1.35-r0.apk2025-01-16 14:38 305K 
[   ]perl-libintl-perl-doc-1.35-r0.apk2025-01-16 14:38 571K 
[   ]perl-linux-pid-0.04-r13.apk2024-06-10 13:55 4.4K 
[   ]perl-linux-pid-doc-0.04-r13.apk2024-06-10 13:55 2.7K 
[   ]perl-list-binarysearch-0.25-r0.apk2024-01-17 17:50 9.7K 
[   ]perl-list-binarysearch-doc-0.25-r0.apk2024-01-17 17:50 11K 
[   ]perl-list-binarysearch-xs-0.09-r1.apk2024-06-10 13:55 12K 
[   ]perl-list-binarysearch-xs-doc-0.09-r1.apk2024-06-10 13:55 7.8K 
[   ]perl-list-keywords-0.11-r0.apk2024-09-25 18:24 14K 
[   ]perl-list-keywords-doc-0.11-r0.apk2024-09-25 18:24 5.3K 
[   ]perl-log-fu-0.31-r4.apk2023-07-06 19:21 10K 
[   ]perl-log-fu-doc-0.31-r4.apk2023-07-06 19:21 7.0K 
[   ]perl-log-message-0.08-r3.apk2023-07-06 19:21 10K 
[   ]perl-log-message-doc-0.08-r3.apk2023-07-06 19:21 12K 
[   ]perl-log-message-simple-0.10-r3.apk2023-07-06 19:21 4.0K 
[   ]perl-log-message-simple-doc-0.10-r3.apk2023-07-06 19:21 3.7K 
[   ]perl-lv-0.006-r0.apk2024-01-19 00:56 3.8K 
[   ]perl-lv-backend-magic-0.006-r0.apk2024-01-19 00:56 1.9K 
[   ]perl-lv-backend-sentinel-0.006-r0.apk2024-01-19 00:56 1.7K 
[   ]perl-lv-doc-0.006-r0.apk2024-01-19 00:56 3.8K 
[   ]perl-lwp-online-1.08-r0.apk2024-01-12 16:02 5.9K 
[   ]perl-lwp-online-doc-1.08-r0.apk2024-01-12 16:02 5.4K 
[   ]perl-lwp-useragent-cached-0.08-r1.apk2023-07-06 19:21 6.1K 
[   ]perl-lwp-useragent-cached-doc-0.08-r1.apk2023-07-06 19:21 5.4K 
[   ]perl-mastodon-client-0.017-r0.apk2024-01-13 13:00 22K 
[   ]perl-mastodon-client-doc-0.017-r0.apk2024-01-13 13:00 33K 
[   ]perl-math-int64-0.57-r1.apk2024-06-10 13:55 27K 
[   ]perl-math-int64-doc-0.57-r1.apk2024-06-10 13:55 10K 
[   ]perl-math-libm-1.00-r14.apk2024-06-10 13:55 9.7K 
[   ]perl-math-libm-doc-1.00-r14.apk2024-06-10 13:55 2.9K 
[   ]perl-math-random-0.72-r0.apk2024-08-29 12:07 35K 
[   ]perl-math-random-doc-0.72-r0.apk2024-08-29 12:07 11K 
[   ]perl-math-random-isaac-xs-1.004-r8.apk2024-06-10 13:55 7.6K 
[   ]perl-math-random-isaac-xs-doc-1.004-r8.apk2024-06-10 13:55 3.5K 
[   ]perl-mce-1.901-r0.apk2025-01-03 18:12 134K 
[   ]perl-mce-doc-1.901-r0.apk2025-01-03 18:12 169K 
[   ]perl-minion-10.31-r0.apk2024-09-21 18:47 1.5M 
[   ]perl-minion-backend-pg-10.31-r0.apk2024-09-21 18:47 9.4K 
[   ]perl-minion-backend-redis-0.003-r0.apk2024-03-03 08:17 10K 
[   ]perl-minion-backend-redis-doc-0.003-r0.apk2024-03-03 08:17 6.3K 
[   ]perl-minion-backend-sqlite-5.0.7-r0.apk2024-03-03 08:17 9.9K 
[   ]perl-minion-backend-sqlite-doc-5.0.7-r0.apk2024-03-03 08:17 6.5K 
[   ]perl-minion-doc-10.31-r0.apk2024-09-21 18:47 49K 
[   ]perl-module-build-prereqs-fromcpanfile-0.02-r0.apk2024-01-19 01:32 3.2K 
[   ]perl-module-build-prereqs-fromcpanfile-doc-0.02-r0.apk2024-01-19 01:32 3.5K 
[   ]perl-module-generic-0.37.7-r0.apk2024-11-01 16:16 253K 
[   ]perl-module-generic-doc-0.37.7-r0.apk2024-11-01 16:16 201K 
[   ]perl-mojo-reactor-ioasync-1.002-r0.apk2024-01-12 16:02 4.5K 
[   ]perl-mojo-reactor-ioasync-doc-1.002-r0.apk2024-01-12 16:02 4.1K 
[   ]perl-mojo-redis-3.29-r0.apk2024-01-12 16:02 25K 
[   ]perl-mojo-redis-doc-3.29-r0.apk2024-01-12 16:02 24K 
[   ]perl-mojo-sqlite-3.009-r0.apk2024-01-12 16:02 16K 
[   ]perl-mojo-sqlite-doc-3.009-r0.apk2024-01-12 16:02 19K 
[   ]perl-mojolicious-plugin-openapi-5.09-r0.apk2024-01-14 13:53 28K 
[   ]perl-mojolicious-plugin-openapi-doc-5.09-r0.apk2024-01-14 13:53 34K 
[   ]perl-multidimensional-0.014-r0.apk2024-09-25 18:24 4.4K 
[   ]perl-multidimensional-doc-0.014-r0.apk2024-09-25 18:24 2.8K 
[   ]perl-musicbrainz-discid-0.06-r1.apk2024-06-10 13:55 9.2K 
[   ]perl-musicbrainz-discid-doc-0.06-r1.apk2024-06-10 13:55 4.0K 
[   ]perl-net-address-ip-local-0.1.2-r0.apk2024-01-17 17:50 3.2K 
[   ]perl-net-address-ip-local-doc-0.1.2-r0.apk2024-01-17 17:50 3.2K 
[   ]perl-net-amqp-rabbitmq-2.40012-r0.apk2024-09-29 17:41 82K 
[   ]perl-net-amqp-rabbitmq-doc-2.40012-r0.apk2024-09-29 17:41 9.4K 
[   ]perl-net-async-redis-6.006-r0.apk2024-12-11 18:03 58K 
[   ]perl-net-async-redis-doc-6.006-r0.apk2024-12-11 18:03 66K 
[   ]perl-net-async-redis-xs-1.001-r1.apk2024-06-10 13:55 8.6K 
[   ]perl-net-async-redis-xs-doc-1.001-r1.apk2024-06-10 13:55 5.1K 
[   ]perl-net-curl-0.57-r0.apk2025-01-22 15:59 59K 
[   ]perl-net-curl-doc-0.57-r0.apk2025-01-22 15:59 39K 
[   ]perl-net-curl-promiser-0.20-r0.apk2024-02-05 17:13 8.6K 
[   ]perl-net-curl-promiser-anyevent-0.20-r0.apk2024-02-05 17:13 2.5K 
[   ]perl-net-curl-promiser-doc-0.20-r0.apk2024-02-05 17:13 11K 
[   ]perl-net-curl-promiser-ioasync-0.20-r0.apk2024-02-05 17:13 2.7K 
[   ]perl-net-curl-promiser-mojo-0.20-r0.apk2024-02-05 17:13 2.9K 
[   ]perl-net-idn-encode-2.500-r1.apk2024-06-10 13:55 83K 
[   ]perl-net-idn-encode-doc-2.500-r1.apk2024-06-10 13:55 21K 
[   ]perl-net-irr-0.10-r0.apk2024-03-13 17:15 5.2K 
[   ]perl-net-irr-doc-0.10-r0.apk2024-03-13 17:15 4.9K 
[   ]perl-net-jabber-2.0-r0.apk2024-01-12 16:02 50K 
[   ]perl-net-jabber-bot-2.1.7-r0.apk2024-01-12 16:02 13K 
[   ]perl-net-jabber-bot-doc-2.1.7-r0.apk2024-01-12 16:02 7.3K 
[   ]perl-net-jabber-doc-2.0-r0.apk2024-01-12 16:02 48K 
[   ]perl-net-netmask-2.0002-r2.apk2024-01-07 20:48 14K 
[   ]perl-net-netmask-doc-2.0002-r2.apk2024-01-07 20:48 8.3K 
[   ]perl-net-patricia-1.22-r12.apk2024-06-10 13:55 21K 
[   ]perl-net-patricia-doc-1.22-r12.apk2024-06-10 13:55 5.8K 
[   ]perl-net-xmpp-1.05-r0.apk2024-01-12 16:02 57K 
[   ]perl-net-xmpp-doc-1.05-r0.apk2024-01-12 16:02 43K 
[   ]perl-netaddr-mac-0.98-r1.apk2023-07-06 19:21 11K 
[   ]perl-netaddr-mac-doc-0.98-r1.apk2023-07-06 19:21 7.7K 
[   ]perl-nice-try-1.3.15-r0.apk2024-11-07 03:19 27K 
[   ]perl-nice-try-doc-1.3.15-r0.apk2024-11-07 03:19 12K 
[   ]perl-number-format-1.76-r1.apk2023-07-06 19:21 15K 
[   ]perl-number-format-doc-1.76-r1.apk2023-07-06 19:21 8.8K 
[   ]perl-number-misc-1.2-r5.apk2023-12-14 17:55 4.9K 
[   ]perl-number-misc-doc-1.2-r5.apk2023-12-14 17:55 4.1K 
[   ]perl-number-tolerant-1.710-r0.apk2023-08-19 21:23 15K 
[   ]perl-number-tolerant-doc-1.710-r0.apk2023-08-19 21:23 25K 
[   ]perl-object-array-0.060-r0.apk2024-08-29 12:07 5.4K 
[   ]perl-object-array-doc-0.060-r0.apk2024-08-29 12:07 6.7K 
[   ]perl-object-pad-fieldattr-checked-0.12-r0.apk2024-09-25 18:24 7.9K 
[   ]perl-object-pad-fieldattr-checked-doc-0.12-r0.apk2024-09-25 18:24 4.2K 
[   ]perl-openapi-client-1.07-r0.apk2024-01-14 13:53 8.4K 
[   ]perl-openapi-client-doc-1.07-r0.apk2024-01-14 13:53 7.1K 
[   ]perl-opentracing-1.006-r0.apk2024-01-17 17:50 18K 
[   ]perl-opentracing-doc-1.006-r0.apk2024-01-17 17:50 32K 
[   ]perl-pango-1.227-r11.apk2024-06-10 13:55 80K 
[   ]perl-pango-doc-1.227-r11.apk2024-06-10 13:55 81K 
[   ]perl-path-iter-0.2-r3.apk2023-07-06 19:21 5.0K 
[   ]perl-path-iter-doc-0.2-r3.apk2023-07-06 19:21 4.9K 
[   ]perl-perlio-locale-0.10-r12.apk2024-06-10 13:55 4.2K 
[   ]perl-perlio-locale-doc-0.10-r12.apk2024-06-10 13:55 2.8K 
[   ]perl-plack-middleware-expires-0.06-r3.apk2023-07-06 19:21 3.6K 
[   ]perl-plack-middleware-expires-doc-0.06-r3.apk2023-07-06 19:21 3.1K 
[   ]perl-plack-middleware-fixmissingbodyinredirect-0.12-r0.apk2024-12-26 10:37 2.8K 
[   ]perl-plack-middleware-fixmissingbodyinredirect-doc-0.12-r0.apk2024-12-26 10:37 2.8K 
[   ]perl-plack-middleware-removeredundantbody-0.09-r0.apk2024-12-27 15:26 2.2K 
[   ]perl-plack-middleware-removeredundantbody-doc-0.09-r0.apk2024-12-27 15:26 2.8K 
[   ]perl-plack-middleware-reverseproxy-0.16-r2.apk2023-07-06 19:21 2.9K 
[   ]perl-plack-middleware-reverseproxy-doc-0.16-r2.apk2023-07-06 19:21 2.8K 
[   ]perl-pod-cpandoc-0.16-r6.apk2023-07-06 19:21 4.3K 
[   ]perl-pod-cpandoc-doc-0.16-r6.apk2023-07-06 19:21 4.6K 
[   ]perl-pod-tidy-0.10-r1.apk2023-07-06 19:21 10K 
[   ]perl-pod-tidy-doc-0.10-r1.apk2023-07-06 19:21 10K 
[   ]perl-ppi-xs-0.910-r1.apk2024-06-10 13:55 5.5K 
[   ]perl-ppi-xs-doc-0.910-r1.apk2024-06-10 13:55 3.1K 
[   ]perl-proc-guard-0.07-r4.apk2024-01-04 02:15 3.4K 
[   ]perl-proc-guard-doc-0.07-r4.apk2024-01-04 02:15 3.3K 
[   ]perl-promise-es6-0.28-r0.apk2024-01-19 01:32 10K 
[   ]perl-promise-es6-anyevent-0.28-r0.apk2024-01-19 01:32 2.3K 
[   ]perl-promise-es6-doc-0.28-r0.apk2024-01-19 01:32 12K 
[   ]perl-promise-es6-future-0.28-r0.apk2024-01-19 01:32 2.0K 
[   ]perl-promise-es6-io-async-0.28-r0.apk2024-01-19 01:32 2.7K 
[   ]perl-promise-es6-mojo-ioloop-0.28-r0.apk2024-01-19 01:32 2.3K 
[   ]perl-promise-me-0.5.0-r0.apk2024-09-05 18:45 25K 
[   ]perl-promise-me-doc-0.5.0-r0.apk2024-09-05 18:45 12K 
[   ]perl-promise-xs-0.20-r1.apk2024-06-10 13:55 24K 
[   ]perl-promise-xs-doc-0.20-r1.apk2024-06-10 13:55 8.4K 
[   ]perl-protocol-database-postgresql-2.001-r0.apk2024-01-27 16:50 19K 
[   ]perl-protocol-database-postgresql-doc-2.001-r0.apk2024-01-27 16:50 37K 
[   ]perl-protocol-redis-1.0021-r0.apk2024-09-03 06:00 5.4K 
[   ]perl-protocol-redis-doc-1.0021-r0.apk2024-09-03 06:00 4.8K 
[   ]perl-protocol-redis-faster-0.003-r0.apk2024-01-12 16:02 3.2K 
[   ]perl-protocol-redis-faster-doc-0.003-r0.apk2024-01-12 16:02 3.1K 
[   ]perl-ref-util-xs-0.117-r8.apk2024-06-10 13:55 9.5K 
[   ]perl-ref-util-xs-doc-0.117-r8.apk2024-06-10 13:55 3.1K 
[   ]perl-regexp-grammars-1.058-r0.apk2024-01-25 18:00 65K 
[   ]perl-regexp-grammars-doc-1.058-r0.apk2024-01-25 18:00 48K 
[   ]perl-role-eventemitter-0.003-r0.apk2024-01-13 13:00 3.4K 
[   ]perl-role-eventemitter-doc-0.003-r0.apk2024-01-13 13:00 3.7K 
[   ]perl-rxperl-6.29.8-r0.apk2024-08-29 12:07 26K 
[   ]perl-rxperl-anyevent-6.8.1-r0.apk2024-01-19 05:23 2.5K 
[   ]perl-rxperl-anyevent-doc-6.8.1-r0.apk2024-01-19 05:23 8.7K 
[   ]perl-rxperl-doc-6.29.8-r0.apk2024-08-29 12:07 22K 
[   ]perl-rxperl-ioasync-6.9.1-r0.apk2024-01-19 05:23 2.6K 
[   ]perl-rxperl-ioasync-doc-6.9.1-r0.apk2024-01-19 05:23 8.7K 
[   ]perl-rxperl-mojo-6.8.2-r0.apk2024-08-08 05:38 2.6K 
[   ]perl-rxperl-mojo-doc-6.8.2-r0.apk2024-08-08 05:38 8.8K 
[   ]perl-ryu-4.001-r0.apk2024-09-19 03:19 26K 
[   ]perl-ryu-async-0.020-r0.apk2024-01-17 17:50 7.3K 
[   ]perl-ryu-async-doc-0.020-r0.apk2024-01-17 17:50 12K 
[   ]perl-ryu-doc-4.001-r0.apk2024-09-19 03:19 35K 
[   ]perl-scalar-readonly-0.03-r1.apk2024-06-10 13:55 5.0K 
[   ]perl-scalar-readonly-doc-0.03-r1.apk2024-06-10 13:55 3.1K 
[   ]perl-sentinel-0.07-r1.apk2024-06-10 13:55 6.9K 
[   ]perl-sentinel-doc-0.07-r1.apk2024-06-10 13:55 3.9K 
[   ]perl-session-storage-secure-1.000-r2.apk2023-07-06 19:21 8.6K 
[   ]perl-session-storage-secure-doc-1.000-r2.apk2023-07-06 19:21 7.1K 
[   ]perl-signature-attribute-checked-0.06-r0.apk2024-09-25 19:17 7.3K 
[   ]perl-signature-attribute-checked-doc-0.06-r0.apk2024-09-25 19:17 4.3K 
[   ]perl-snmp-5.0404-r13.apk2024-06-10 13:55 69K 
[   ]perl-snmp-doc-5.0404-r13.apk2024-06-10 13:55 14K 
[   ]perl-snmp-info-3.972002-r0.apk2024-10-30 07:03 332K 
[   ]perl-snmp-info-doc-3.972002-r0.apk2024-10-30 07:03 379K 
[   ]perl-soap-lite-1.27-r5.apk2023-07-06 19:21 110K 
[   ]perl-soap-lite-doc-1.27-r5.apk2023-07-06 19:21 90K 
[   ]perl-sort-naturally-1.03-r4.apk2023-07-06 19:21 8.4K 
[   ]perl-sort-naturally-doc-1.03-r4.apk2023-07-06 19:21 5.2K 
[   ]perl-sort-versions-1.62-r0.apk2024-03-03 08:17 3.5K 
[   ]perl-sort-versions-doc-1.62-r0.apk2024-03-03 08:17 3.9K 
[   ]perl-sql-abstract-classic-1.91-r1.apk2023-07-06 19:21 29K 
[   ]perl-sql-abstract-classic-doc-1.91-r1.apk2023-07-06 19:21 20K 
[   ]perl-sql-abstract-more-1.43-r0.apk2025-01-01 03:42 27K 
[   ]perl-sql-abstract-more-doc-1.43-r0.apk2025-01-01 03:42 16K 
[   ]perl-starman-0.4017-r0.apk2023-09-14 13:49 13K 
[   ]perl-starman-doc-0.4017-r0.apk2023-09-14 13:49 9.7K 
[   ]perl-statistics-basic-1.6611-r0.apk2024-08-29 12:07 9.0K 
[   ]perl-statistics-basic-doc-1.6611-r0.apk2024-08-29 12:07 50K 
[   ]perl-statistics-descriptive-3.0801-r0.apk2023-07-15 03:32 30K 
[   ]perl-statistics-descriptive-doc-3.0801-r0.apk2023-07-15 03:32 37K 
[   ]perl-storable-improved-0.1.3-r0.apk2024-03-03 08:17 6.4K 
[   ]perl-storable-improved-doc-0.1.3-r0.apk2024-03-03 08:17 6.7K 
[   ]perl-string-camelcase-0.04-r2.apk2023-07-06 19:21 2.9K 
[   ]perl-string-camelcase-doc-0.04-r2.apk2023-07-06 19:21 3.2K 
[   ]perl-string-compare-constanttime-0.321-r6.apk2024-06-10 13:55 6.9K 
[   ]perl-string-compare-constanttime-doc-0.321-r6.apk2024-06-10 13:55 5.0K 
[   ]perl-string-crc32-2.100-r4.apk2024-06-10 13:55 6.7K 
[   ]perl-string-crc32-doc-2.100-r4.apk2024-06-10 13:55 3.1K 
[   ]perl-string-random-0.32-r2.apk2024-01-07 20:48 7.7K 
[   ]perl-string-random-doc-0.32-r2.apk2024-01-07 20:48 5.9K 
[   ]perl-syntax-keyword-match-0.15-r0.apk2024-07-10 05:13 13K 
[   ]perl-syntax-keyword-match-doc-0.15-r0.apk2024-07-10 05:13 7.5K 
[   ]perl-syntax-operator-equ-0.10-r0.apk2024-09-25 18:24 7.6K 
[   ]perl-syntax-operator-equ-doc-0.10-r0.apk2024-09-25 18:24 6.3K 
[   ]perl-syntax-operator-in-0.10-r0.apk2024-09-25 18:24 9.2K 
[   ]perl-syntax-operator-in-doc-0.10-r0.apk2024-09-25 18:24 5.6K 
[   ]perl-sys-syscall-0.25-r10.apk2024-07-11 09:25 5.1K 
[   ]perl-sys-syscall-doc-0.25-r10.apk2024-07-11 09:25 3.4K 
[   ]perl-sys-virt-10.6.0-r0.apk2024-09-27 21:35 200K 
[   ]perl-sys-virt-doc-10.6.0-r0.apk2024-09-27 21:35 99K 
[   ]perl-system-command-1.122-r0.apk2024-02-05 17:10 12K 
[   ]perl-system-command-doc-1.122-r0.apk2024-02-05 17:10 9.9K 
[   ]perl-template-plugin-csv-0.04-r3.apk2023-07-06 19:21 2.5K 
[   ]perl-template-plugin-csv-doc-0.04-r3.apk2023-07-06 19:21 2.8K 
[   ]perl-template-plugin-number-format-1.06-r4.apk2023-07-06 19:21 4.6K 
[   ]perl-template-plugin-number-format-doc-1.06-r4.apk2023-07-06 19:21 4.1K 
[   ]perl-template-tiny-1.14-r0.apk2024-12-15 11:09 4.9K 
[   ]perl-template-tiny-doc-1.14-r0.apk2024-12-15 11:09 4.4K 
[   ]perl-term-size-0.211-r4.apk2024-06-10 13:55 5.4K 
[   ]perl-term-size-doc-0.211-r4.apk2024-06-10 13:55 3.5K 
[   ]perl-term-ui-0.50-r1.apk2023-07-06 19:21 9.7K 
[   ]perl-term-ui-doc-0.50-r1.apk2023-07-06 19:21 8.2K 
[   ]perl-test-api-0.010-r2.apk2023-07-06 19:21 4.8K 
[   ]perl-test-api-doc-0.010-r2.apk2023-07-06 19:21 4.0K 
[   ]perl-test-class-tiny-0.03-r0.apk2024-02-05 17:13 5.6K 
[   ]perl-test-class-tiny-doc-0.03-r0.apk2024-02-05 17:13 5.2K 
[   ]perl-test-describeme-0.004-r0.apk2024-04-30 03:06 3.3K 
[   ]perl-test-describeme-doc-0.004-r0.apk2024-04-30 03:06 3.9K 
[   ]perl-test-distribution-2.00-r1.apk2023-07-06 19:21 7.5K 
[   ]perl-test-distribution-doc-2.00-r1.apk2023-07-06 19:21 5.8K 
[   ]perl-test-expander-2.5.1-r0.apk2024-09-01 06:18 6.9K 
[   ]perl-test-expander-doc-2.5.1-r0.apk2024-09-01 06:18 20K 
[   ]perl-test-file-1.994-r0.apk2025-01-12 05:10 11K 
[   ]perl-test-file-doc-1.994-r0.apk2025-01-12 05:10 6.5K 
[   ]perl-test-files-0.26-r0.apk2024-03-09 07:51 6.5K 
[   ]perl-test-files-doc-0.26-r0.apk2024-03-09 07:51 14K 
[   ]perl-test-lwp-useragent-0.036-r0.apk2024-01-14 16:57 9.6K 
[   ]perl-test-lwp-useragent-doc-0.036-r0.apk2024-01-14 16:57 8.1K 
[   ]perl-test-memorygrowth-0.05-r0.apk2024-09-18 02:52 6.2K 
[   ]perl-test-memorygrowth-doc-0.05-r0.apk2024-09-18 02:52 5.0K 
[   ]perl-test-modern-0.013-r3.apk2023-07-06 19:21 14K 
[   ]perl-test-modern-doc-0.013-r3.apk2023-07-06 19:21 9.6K 
[   ]perl-test-randomresult-0.001-r0.apk2024-04-30 03:06 3.2K 
[   ]perl-test-randomresult-doc-0.001-r0.apk2024-04-30 03:06 3.4K 
[   ]perl-test-redisserver-0.23-r0.apk2024-01-17 17:50 4.7K 
[   ]perl-test-redisserver-doc-0.23-r0.apk2024-01-17 17:50 3.8K 
[   ]perl-test-requires-git-1.008-r0.apk2024-02-05 17:10 4.5K 
[   ]perl-test-requires-git-doc-1.008-r0.apk2024-02-05 17:10 4.1K 
[   ]perl-test-roo-1.004-r3.apk2023-07-06 19:21 12K 
[   ]perl-test-roo-doc-1.004-r3.apk2023-07-06 19:21 15K 
[   ]perl-test-settings-0.003-r0.apk2024-04-30 03:06 4.7K 
[   ]perl-test-settings-doc-0.003-r0.apk2024-04-30 03:06 5.8K 
[   ]perl-test-timer-2.12-r2.apk2024-01-04 02:15 8.6K 
[   ]perl-test-timer-doc-2.12-r2.apk2024-01-04 02:15 8.1K 
[   ]perl-test-toolbox-0.4-r5.apk2023-12-14 17:55 9.6K 
[   ]perl-test-toolbox-doc-0.4-r5.apk2023-12-14 17:55 5.9K 
[   ]perl-test-trap-0.3.5-r1.apk2023-07-06 19:21 20K 
[   ]perl-test-trap-doc-0.3.5-r1.apk2023-07-06 19:21 20K 
[   ]perl-test-unit-0.27-r0.apk2024-06-28 07:11 37K 
[   ]perl-test-unit-doc-0.27-r0.apk2024-06-28 07:11 48K 
[   ]perl-test-useallmodules-0.17-r1.apk2023-07-06 19:21 3.6K 
[   ]perl-test-useallmodules-doc-0.17-r1.apk2023-07-06 19:21 3.6K 
[   ]perl-test-utf8-1.03-r0.apk2024-11-16 16:09 5.3K 
[   ]perl-test-utf8-doc-1.03-r0.apk2024-11-16 16:09 4.6K 
[   ]perl-test2-tools-explain-0.02-r0.apk2024-03-09 07:51 3.6K 
[   ]perl-test2-tools-explain-doc-0.02-r0.apk2024-03-09 07:51 4.2K 
[   ]perl-text-brew-0.02-r5.apk2023-07-06 19:21 4.3K 
[   ]perl-text-brew-doc-0.02-r5.apk2023-07-06 19:21 3.9K 
[   ]perl-text-table-any-0.117-r0.apk2024-03-03 08:17 7.8K 
[   ]perl-text-table-any-doc-0.117-r0.apk2024-03-03 08:17 6.4K 
[   ]perl-text-table-sprintf-0.008-r0.apk2024-03-03 08:17 5.1K 
[   ]perl-text-table-sprintf-doc-0.008-r0.apk2024-03-03 08:17 5.0K 
[   ]perl-throwable-1.001-r1.apk2023-07-06 19:21 6.0K 
[   ]perl-throwable-doc-1.001-r1.apk2023-07-06 19:21 7.7K 
[   ]perl-tickit-widget-choice-0.07-r0.apk2024-01-14 13:56 3.7K 
[   ]perl-tickit-widget-choice-doc-0.07-r0.apk2024-01-14 13:56 3.2K 
[   ]perl-tickit-widget-entry-plugin-completion-0.02-r0.apk2024-01-14 13:56 4.4K 
[   ]perl-tickit-widget-entry-plugin-completion-doc-0.02-r0.apk2024-01-14 13:56 3.6K 
[   ]perl-tickit-widget-floatbox-0.11-r0.apk2024-01-14 13:56 4.4K 
[   ]perl-tickit-widget-floatbox-doc-0.11-r0.apk2024-01-14 13:56 3.9K 
[   ]perl-tickit-widget-menu-0.16-r0.apk2024-01-14 13:56 6.9K 
[   ]perl-tickit-widget-menu-doc-0.16-r0.apk2024-01-14 13:56 6.7K 
[   ]perl-tickit-widget-scrollbox-0.12-r0.apk2024-01-14 13:56 7.7K 
[   ]perl-tickit-widget-scrollbox-doc-0.12-r0.apk2024-01-14 13:56 6.3K 
[   ]perl-time-moment-0.44-r0.apk2024-09-25 18:24 40K 
[   ]perl-time-moment-doc-0.44-r0.apk2024-09-25 18:24 31K 
[   ]perl-time-moment-role-strptime-0.001-r0.apk2024-09-25 18:24 2.5K 
[   ]perl-time-moment-role-strptime-doc-0.001-r0.apk2024-09-25 18:24 3.1K 
[   ]perl-time-moment-role-timezone-1.000-r0.apk2024-09-25 18:24 3.3K 
[   ]perl-time-moment-role-timezone-doc-1.000-r0.apk2024-09-25 18:24 3.7K 
[   ]perl-time-timegm-0.01-r9.apk2024-06-10 13:55 6.4K 
[   ]perl-time-timegm-doc-0.01-r9.apk2024-06-10 13:55 3.5K 
[   ]perl-types-path-tiny-0.006-r0.apk2024-01-13 13:00 3.7K 
[   ]perl-types-path-tiny-doc-0.006-r0.apk2024-01-13 13:00 3.8K 
[   ]perl-uri-db-0.23-r0.apk2025-01-09 06:29 11K 
[   ]perl-uri-db-doc-0.23-r0.apk2025-01-09 06:29 8.1K 
[   ]perl-uri-fetch-0.15-r0.apk2024-01-14 16:57 6.8K 
[   ]perl-uri-fetch-doc-0.15-r0.apk2024-01-14 16:57 7.3K 
[   ]perl-uri-nested-0.10-r0.apk2024-01-12 16:02 3.7K 
[   ]perl-uri-nested-doc-0.10-r0.apk2024-01-12 16:02 3.6K 
[   ]perl-uri-redis-0.02-r0.apk2024-01-17 17:50 2.9K 
[   ]perl-uri-redis-doc-0.02-r0.apk2024-01-17 17:50 4.3K 
[   ]perl-uri-tcp-2.0.0-r0.apk2024-01-17 17:50 2.5K 
[   ]perl-uri-tcp-doc-2.0.0-r0.apk2024-01-17 17:50 4.7K 
[   ]perl-url-encode-0.03-r4.apk2023-07-06 19:21 4.9K 
[   ]perl-url-encode-doc-0.03-r4.apk2023-07-06 19:21 4.4K 
[   ]perl-variable-disposition-0.005-r0.apk2024-01-17 17:50 3.0K 
[   ]perl-variable-disposition-doc-0.005-r0.apk2024-01-17 17:50 5.4K 
[   ]perl-x-tiny-0.22-r0.apk2024-04-30 03:06 6.6K 
[   ]perl-x-tiny-doc-0.22-r0.apk2024-04-30 03:06 7.3K 
[   ]perl-xml-atom-0.43-r0.apk2024-01-14 16:57 19K 
[   ]perl-xml-atom-doc-0.43-r0.apk2024-01-14 16:57 16K 
[   ]perl-xml-bare-0.53-r13.apk2024-06-10 13:55 28K 
[   ]perl-xml-bare-doc-0.53-r13.apk2024-06-10 13:55 11K 
[   ]perl-xml-feed-0.65-r0.apk2024-07-10 19:46 14K 
[   ]perl-xml-feed-doc-0.65-r0.apk2024-07-10 19:46 12K 
[   ]perl-xml-parser-style-easytree-0.09-r0.apk2024-08-29 12:07 4.7K 
[   ]perl-xml-parser-style-easytree-doc-0.09-r0.apk2024-08-29 12:07 5.2K 
[   ]perl-xml-rpc-2.1-r0.apk2024-05-26 14:29 5.5K 
[   ]perl-xml-rpc-doc-2.1-r0.apk2024-05-26 14:29 4.6K 
[   ]perl-xml-stream-1.24-r0.apk2024-01-03 20:45 44K 
[   ]perl-xml-stream-doc-1.24-r0.apk2024-01-03 20:45 17K 
[   ]persistent-cache-cpp-1.0.7-r3.apk2025-01-27 00:42 46K 
[   ]persistent-cache-cpp-dev-1.0.7-r3.apk2025-01-27 00:42 18K 
[   ]persistent-cache-cpp-doc-1.0.7-r3.apk2025-01-27 00:42 2.9K 
[   ]pest-language-server-0.3.9-r0.apk2024-04-30 03:06 1.0M 
[   ]pfetch-1.7.0-r0.apk2025-01-03 19:51 23K 
[   ]pfetch-doc-1.7.0-r0.apk2025-01-03 19:51 5.3K 
[   ]phoronix-test-suite-10.8.4-r2.apk2023-12-14 17:55 3.9M 
[   ]phoronix-test-suite-bash-completion-10.8.4-r2.apk2023-12-14 17:55 1.5K 
[   ]phoronix-test-suite-doc-10.8.4-r2.apk2023-12-14 17:55 287K 
[   ]php81-8.1.31-r0.apk2024-11-20 04:40 1.7M 
[   ]php81-apache2-8.1.31-r0.apk2024-11-20 04:40 1.7M 
[   ]php81-bcmath-8.1.31-r0.apk2024-11-20 04:40 14K 
[   ]php81-bz2-8.1.31-r0.apk2024-11-20 04:40 9.1K 
[   ]php81-calendar-8.1.31-r0.apk2024-11-20 04:40 13K 
[   ]php81-cgi-8.1.31-r0.apk2024-11-20 04:40 1.7M 
[   ]php81-common-8.1.31-r0.apk2024-11-20 04:40 25K 
[   ]php81-ctype-8.1.31-r0.apk2024-11-20 04:40 4.5K 
[   ]php81-curl-8.1.31-r0.apk2024-11-20 04:40 35K 
[   ]php81-dba-8.1.31-r0.apk2024-11-20 04:40 21K 
[   ]php81-dev-8.1.31-r0.apk2024-11-20 04:40 939K 
[   ]php81-doc-8.1.31-r0.apk2024-11-20 04:40 67K 
[   ]php81-dom-8.1.31-r0.apk2024-11-20 04:40 57K 
[   ]php81-embed-8.1.31-r0.apk2024-11-20 04:40 1.7M 
[   ]php81-enchant-8.1.31-r0.apk2024-11-20 04:40 8.1K 
[   ]php81-exif-8.1.31-r0.apk2024-11-20 04:40 31K 
[   ]php81-ffi-8.1.31-r0.apk2024-11-20 04:40 75K 
[   ]php81-fileinfo-8.1.31-r0.apk2024-11-20 04:40 375K 
[   ]php81-fpm-8.1.31-r0.apk2024-11-20 04:40 1.8M 
[   ]php81-ftp-8.1.31-r0.apk2024-11-20 04:40 22K 
[   ]php81-gd-8.1.31-r0.apk2024-11-20 04:40 116K 
[   ]php81-gettext-8.1.31-r0.apk2024-11-20 04:40 5.4K 
[   ]php81-gmp-8.1.31-r0.apk2024-11-20 04:40 20K 
[   ]php81-iconv-8.1.31-r0.apk2024-11-20 04:40 16K 
[   ]php81-imap-8.1.31-r0.apk2024-11-20 04:40 32K 
[   ]php81-intl-8.1.31-r0.apk2024-11-20 04:40 133K 
[   ]php81-ldap-8.1.31-r0.apk2024-11-20 04:40 30K 
[   ]php81-litespeed-8.1.31-r0.apk2024-11-20 04:40 1.7M 
[   ]php81-mbstring-8.1.31-r0.apk2024-11-20 04:40 566K 
[   ]php81-mysqli-8.1.31-r0.apk2024-11-20 04:40 41K 
[   ]php81-mysqlnd-8.1.31-r0.apk2024-11-20 04:40 77K 
[   ]php81-odbc-8.1.31-r0.apk2024-11-20 04:40 22K 
[   ]php81-opcache-8.1.31-r0.apk2024-11-20 04:40 63K 
[   ]php81-openssl-8.1.31-r0.apk2024-11-20 04:40 67K 
[   ]php81-pcntl-8.1.31-r0.apk2024-11-20 04:40 13K 
[   ]php81-pdo-8.1.31-r0.apk2024-11-20 04:40 39K 
[   ]php81-pdo_dblib-8.1.31-r0.apk2024-11-20 04:40 11K 
[   ]php81-pdo_mysql-8.1.31-r0.apk2024-11-20 04:40 12K 
[   ]php81-pdo_odbc-8.1.31-r0.apk2024-11-20 04:40 12K 
[   ]php81-pdo_pgsql-8.1.31-r0.apk2024-11-20 04:40 17K 
[   ]php81-pdo_sqlite-8.1.31-r0.apk2024-11-20 04:40 12K 
[   ]php81-pear-8.1.31-r0.apk2024-11-20 04:40 338K 
[   ]php81-pecl-amqp-2.1.2-r0.apk2024-04-30 03:06 57K 
[   ]php81-pecl-apcu-5.1.24-r0.apk2024-09-22 02:51 55K 
[   ]php81-pecl-ast-1.1.2-r0.apk2024-08-11 02:32 20K 
[   ]php81-pecl-brotli-0.15.2-r0.apk2024-11-09 07:47 11K 
[   ]php81-pecl-csv-0.4.2-r0.apk2022-06-03 07:57 8.9K 
[   ]php81-pecl-decimal-1.5.0-r1.apk2024-04-30 03:06 19K 
[   ]php81-pecl-ds-1.5.0-r0.apk2024-04-30 03:06 62K 
[   ]php81-pecl-event-3.1.4-r0.apk2024-07-16 13:38 51K 
[   ]php81-pecl-igbinary-3.2.16-r0.apk2024-08-11 01:49 34K 
[   ]php81-pecl-imagick-3.7.0-r5.apk2024-04-30 03:06 112K 
[   ]php81-pecl-imagick-dev-3.7.0-r5.apk2024-04-30 03:06 2.0K 
[   ]php81-pecl-immutable_cache-6.1.0-r0.apk2022-12-04 04:51 36K 
[   ]php81-pecl-jsmin-3.0.0-r0.apk2023-09-18 01:36 10K 
[   ]php81-pecl-luasandbox-4.1.2-r0.apk2024-04-30 03:06 30K 
[   ]php81-pecl-lzf-1.7.0-r0.apk2024-04-30 03:06 7.1K 
[   ]php81-pecl-mailparse-3.1.8-r0.apk2024-10-04 16:35 23K 
[   ]php81-pecl-maxminddb-1.12.0-r0.apk2024-11-15 17:15 7.7K 
[   ]php81-pecl-mcrypt-1.0.7-r0.apk2024-04-30 03:06 15K 
[   ]php81-pecl-memcache-8.2-r1.apk2024-04-30 03:06 44K 
[   ]php81-pecl-memcached-3.3.0-r0.apk2024-10-19 20:15 46K 
[   ]php81-pecl-memprof-3.0.2-r0.apk2022-01-08 12:33 11K 
[   ]php81-pecl-mongodb-1.20.1-r0.apk2024-11-27 17:50 840K 
[   ]php81-pecl-msgpack-3.0.0-r0.apk2024-09-26 13:25 27K 
[   ]php81-pecl-oauth-2.0.9-r0.apk2024-10-10 07:42 35K 
[   ]php81-pecl-opentelemetry-1.1.2-r0.apk2025-01-23 04:52 12K 
[   ]php81-pecl-pcov-1.0.12-r0.apk2024-12-04 18:19 9.2K 
[   ]php81-pecl-protobuf-4.29.3-r0.apk2025-01-09 23:10 143K 
[   ]php81-pecl-psr-1.2.0-r0.apk2024-04-30 03:06 18K 
[   ]php81-pecl-rdkafka-6.0.5-r0.apk2024-11-04 13:53 38K 
[   ]php81-pecl-redis-6.1.0-r0.apk2024-10-04 22:27 206K 
[   ]php81-pecl-smbclient-1.2.0_pre-r0.apk2024-12-11 01:14 21K 
[   ]php81-pecl-ssh2-1.4.1-r0.apk2024-04-30 03:06 28K 
[   ]php81-pecl-swoole-6.0.0-r0.apk2024-12-17 06:04 895K 
[   ]php81-pecl-swoole-dev-6.0.0-r0.apk2024-12-17 06:04 183K 
[   ]php81-pecl-timezonedb-2025.1-r0.apk2025-01-20 06:38 190K 
[   ]php81-pecl-uploadprogress-2.0.2-r1.apk2024-04-30 03:06 6.2K 
[   ]php81-pecl-uploadprogress-doc-2.0.2-r1.apk2024-04-30 03:06 9.6K 
[   ]php81-pecl-uuid-1.2.1-r0.apk2024-10-08 21:53 6.2K 
[   ]php81-pecl-vips-1.0.13-r0.apk2024-04-30 03:06 17K 
[   ]php81-pecl-xdebug-3.4.1-r0.apk2025-01-07 05:45 143K 
[   ]php81-pecl-xhprof-2.3.10-r0.apk2024-07-11 09:30 13K 
[   ]php81-pecl-xhprof-assets-2.3.10-r0.apk2024-07-11 09:30 800K 
[   ]php81-pecl-xlswriter-1.5.8-r0.apk2024-11-11 02:55 229K 
[   ]php81-pecl-xmlrpc-1.0.0_rc3-r2.apk2024-07-12 19:29 36K 
[   ]php81-pecl-yaml-2.2.4-r0.apk2024-10-08 05:33 18K 
[   ]php81-pecl-zephir_parser-1.7.0-r0.apk2024-11-25 10:47 63K 
[   ]php81-pecl-zstd-0.14.0-r0.apk2024-11-06 15:46 13K 
[   ]php81-pgsql-8.1.31-r0.apk2024-11-20 04:40 43K 
[   ]php81-phar-8.1.31-r0.apk2024-11-20 04:40 112K 
[   ]php81-phpdbg-8.1.31-r0.apk2024-11-20 04:40 1.8M 
[   ]php81-posix-8.1.31-r0.apk2024-11-20 04:40 10K 
[   ]php81-pspell-8.1.31-r0.apk2024-11-20 04:40 7.6K 
[   ]php81-session-8.1.31-r0.apk2024-11-20 04:40 33K 
[   ]php81-shmop-8.1.31-r0.apk2024-11-20 04:40 5.5K 
[   ]php81-simplexml-8.1.31-r0.apk2024-11-20 04:40 21K 
[   ]php81-snmp-8.1.31-r0.apk2024-11-20 04:40 19K 
[   ]php81-soap-8.1.31-r0.apk2024-11-20 04:40 123K 
[   ]php81-sockets-8.1.31-r0.apk2024-11-20 04:40 35K 
[   ]php81-sodium-8.1.31-r0.apk2024-11-20 04:40 26K 
[   ]php81-sqlite3-8.1.31-r0.apk2024-11-20 04:40 20K 
[   ]php81-sysvmsg-8.1.31-r0.apk2024-11-20 04:40 6.9K 
[   ]php81-sysvsem-8.1.31-r0.apk2024-11-20 04:40 5.3K 
[   ]php81-sysvshm-8.1.31-r0.apk2024-11-20 04:40 6.1K 
[   ]php81-tideways_xhprof-5.0.4-r1.apk2022-05-22 17:03 12K 
[   ]php81-tidy-8.1.31-r0.apk2024-11-20 04:40 18K 
[   ]php81-tokenizer-8.1.31-r0.apk2024-11-20 04:40 11K 
[   ]php81-xml-8.1.31-r0.apk2024-11-20 04:40 18K 
[   ]php81-xmlreader-8.1.31-r0.apk2024-11-20 04:40 12K 
[   ]php81-xmlwriter-8.1.31-r0.apk2024-11-20 04:40 12K 
[   ]php81-xsl-8.1.31-r0.apk2024-11-20 04:40 12K 
[   ]php81-zip-8.1.31-r0.apk2024-11-20 04:40 24K 
[   ]php82-pdlib-1.1.0-r1.apk2024-04-02 22:19 508K 
[   ]php82-pecl-apfd-1.0.3-r0.apk2023-12-20 18:35 4.2K 
[   ]php82-pecl-excimer-1.2.3-r0.apk2024-12-04 03:52 21K 
[   ]php82-pecl-immutable_cache-6.1.0-r0.apk2022-12-04 04:51 36K 
[   ]php82-pecl-jsmin-3.0.0-r0.apk2023-09-18 01:36 10K 
[   ]php82-pecl-oauth-2.0.9-r0.apk2024-10-10 07:42 35K 
[   ]php82-pecl-runkit7-4.0.0_alpha6-r1.apk2024-03-29 12:33 30K 
[   ]php82-pecl-teds-1.3.0-r0.apk2022-11-10 15:41 110K 
[   ]php82-pecl-vld-0.18.0-r0.apk2022-10-07 08:50 15K 
[   ]php82-pecl-zephir_parser-1.7.0-r0.apk2024-11-25 10:47 64K 
[   ]php82-snappy-0.2.1-r1.apk2023-05-11 09:06 4.5K 
[   ]php83-pecl-apfd-1.0.3-r0.apk2023-12-20 18:35 4.2K 
[   ]php83-pecl-eio-3.1.3-r0.apk2024-03-03 08:17 29K 
[   ]php83-pecl-ev-1.2.0-r0.apk2024-09-04 18:13 42K 
[   ]php83-pecl-excimer-1.2.3-r0.apk2024-12-04 03:52 21K 
[   ]php83-pecl-jsmin-3.0.0-r0.apk2023-09-18 01:36 10K 
[   ]php83-pecl-oauth-2.0.9-r0.apk2024-10-10 07:42 35K 
[   ]php83-pecl-phpy-1.0.8-r0.apk2024-09-08 16:08 40K 
[   ]php83-pecl-uv-0.3.0-r0.apk2024-09-18 20:28 53K 
[   ]php83-pecl-vld-0.18.0-r1.apk2024-02-03 00:58 16K 
[   ]php83-pecl-zmq-1.1.4-r0.apk2023-12-14 17:55 32K 
[   ]php84-pecl-ev-1.2.0-r1.apk2024-09-25 16:24 42K 
[   ]php84-pecl-oauth-2.0.9-r0.apk2024-10-10 07:42 35K 
[   ]php84-pecl-solr-2.8.0-r0.apk2025-01-03 18:12 91K 
[   ]php84-pecl-uv-0.3.0-r0.apk2024-10-28 13:47 53K 
[   ]phpactor-2024.06.30.0-r0.apk2024-10-15 02:04 3.8M 
[   ]pick-4.0.0-r0.apk2023-05-21 14:09 9.2K 
[   ]pick-doc-4.0.0-r0.apk2023-05-21 14:09 3.1K 
[   ]pict-rs-0.5.16-r1.apk2024-07-17 18:10 6.0M 
[   ]pict-rs-openrc-0.5.16-r1.apk2024-07-17 18:10 1.6K 
[   ]piglit-0_git20241106-r0.apk2024-11-08 07:27 89M 
[   ]pimd-3.0_git20220201-r0.apk2022-03-06 11:31 80K 
[   ]pimd-dense-2.1.0-r0.apk2023-01-14 02:49 47K 
[   ]pimd-dense-doc-2.1.0-r0.apk2023-01-14 02:49 19K 
[   ]pimd-dense-openrc-2.1.0-r0.apk2023-01-14 02:49 1.6K 
[   ]pimd-doc-3.0_git20220201-r0.apk2022-03-06 11:31 35K 
[   ]pimd-openrc-3.0_git20220201-r0.apk2022-03-06 11:31 1.6K 
[   ]pinentry-bemenu-0.13.1-r0.apk2024-03-25 13:20 8.3K 
[   ]pipectl-0.4.1-r1.apk2023-02-01 20:48 5.1K 
[   ]pipectl-doc-0.4.1-r1.apk2023-02-01 20:48 2.8K 
[   ]pipeline-2.1.1-r0.apk2025-02-02 04:26 1.6M 
[   ]pipeline-doc-2.1.1-r0.apk2025-02-02 04:26 13K 
[   ]pipeline-lang-2.1.1-r0.apk2025-02-02 04:26 63K 
[   ]pithos-1.6.1-r0.apk2023-06-02 02:25 104K 
[   ]pithos-doc-1.6.1-r0.apk2023-06-02 02:25 1.8K 
[   ]pithos-pyc-1.6.1-r0.apk2023-06-02 02:25 154K 
[   ]pixi-0.24.2-r0.apk2024-06-16 23:48 8.4M 
[   ]pixi-bash-completion-0.24.2-r0.apk2024-06-16 23:48 6.9K 
[   ]pixi-doc-0.24.2-r0.apk2024-06-16 23:48 6.6K 
[   ]pixi-fish-completion-0.24.2-r0.apk2024-06-16 23:48 9.8K 
[   ]pixi-zsh-completion-0.24.2-r0.apk2024-06-16 23:48 10K 
[   ]pixiewps-1.4.2-r1.apk2022-10-07 08:50 38K 
[   ]pixiewps-doc-1.4.2-r1.apk2022-10-07 08:50 3.1K 
[   ]planarity-3.0.2.0-r2.apk2023-08-19 21:23 8.8K 
[   ]planarity-dev-3.0.2.0-r2.apk2023-08-19 21:23 19K 
[   ]planarity-doc-3.0.2.0-r2.apk2023-08-19 21:23 13K 
[   ]planarity-libs-3.0.2.0-r2.apk2023-08-19 21:23 70K 
[   ]planner-0.14.92-r1.apk2024-12-09 01:30 344K 
[   ]planner-doc-0.14.92-r1.apk2024-12-09 01:30 1.9K 
[   ]planner-lang-0.14.92-r1.apk2024-12-09 01:30 824K 
[   ]platformio-core-6.1.7-r3.apk2024-08-07 03:50 262K 
[   ]platformio-core-pyc-6.1.7-r3.apk2024-08-07 03:50 552K 
[   ]please-0.5.5-r0.apk2024-07-02 05:21 1.0M 
[   ]please-doc-0.5.5-r0.apk2024-07-02 05:21 16K 
[   ]plfit-1.0.1-r0.apk2025-01-04 04:48 11K 
[   ]plfit-dev-1.0.1-r0.apk2025-01-04 04:48 6.2K 
[   ]plfit-libs-1.0.1-r0.apk2025-01-04 04:48 43K 
[   ]plfit-static-1.0.1-r0.apk2025-01-04 04:48 69K 
[   ]plib-1.8.5-r3.apk2023-12-14 17:55 1.4M 
[   ]plots-0.7.0-r1.apk2024-11-06 11:08 515K 
[   ]plplot-5.15.0-r2.apk2022-10-25 15:04 31K 
[   ]plplot-dev-5.15.0-r2.apk2022-10-25 15:04 59K 
[   ]plplot-doc-5.15.0-r2.apk2022-10-25 15:04 310K 
[   ]plplot-libs-5.15.0-r2.apk2022-10-25 15:04 182K 
[   ]plzip-1.12-r0.apk2025-01-15 09:51 42K 
[   ]plzip-doc-1.12-r0.apk2025-01-15 09:51 17K 
[   ]pmccabe-2.8-r1.apk2022-10-25 15:04 22K 
[   ]pmccabe-doc-2.8-r1.apk2022-10-25 15:04 6.9K 
[   ]pnmixer-0.7.2-r3.apk2023-12-14 17:55 141K 
[   ]pnmixer-doc-0.7.2-r3.apk2023-12-14 17:55 2.0K 
[   ]pnmixer-lang-0.7.2-r3.apk2023-12-14 17:55 25K 
[   ]poke-4.2-r0.apk2024-07-02 04:36 1.5M 
[   ]poke-doc-4.2-r0.apk2024-07-02 04:36 200K 
[   ]pokoy-0.2.5-r0.apk2023-05-23 00:58 8.4K 
[   ]pokoy-doc-0.2.5-r0.apk2023-05-23 00:58 2.7K 
[   ]policycoreutils-3.6-r1.apk2024-10-15 10:41 53K 
[   ]policycoreutils-bash-completion-3.6-r1.apk2024-10-15 10:41 2.2K 
[   ]policycoreutils-doc-3.6-r1.apk2024-10-15 10:41 22K 
[   ]policycoreutils-lang-3.6-r1.apk2024-10-15 10:41 105K 
[   ]polyglot-2.0.4-r1.apk2023-08-19 21:23 69K 
[   ]polyglot-doc-2.0.4-r1.apk2023-08-19 21:23 47K 
[   ]pomo-0.8.1-r19.apk2025-01-20 06:38 1.5M 
[   ]pomo-doc-0.8.1-r19.apk2025-01-20 06:38 2.4K 
[   ]pongoos-loader-0_git20210704-r1.apk2022-10-25 15:04 2.1K 
[   ]popeye-0.22.1-r0.apk2025-02-06 03:27 27M 
[   ]portsmf-239-r1.apk2023-03-17 20:04 56K 
[   ]portsmf-dev-239-r1.apk2023-03-17 20:04 20K 
[   ]postgresql-hll-2.18-r0.apk2023-12-17 22:32 27K 
[   ]postgresql-hll-bitcode-2.18-r0.apk2023-12-17 22:32 55K 
[   ]postgresql-pg_later-0.0.14-r1.apk2024-08-31 17:05 614K 
[   ]postgresql-pg_partman-5.0.0-r0.apk2023-12-17 22:57 970K 
[   ]postgresql-pg_partman-bitcode-5.0.0-r0.apk2023-12-17 22:57 22K 
[   ]postgresql-pg_partman-doc-5.0.0-r0.apk2023-12-17 22:57 47K 
[   ]postgresql-pg_partman-scripts-5.0.0-r0.apk2023-12-17 22:57 7.5K 
[   ]postgresql-pg_variables-1.2.5_git20230922-r0.apk2024-09-30 19:51 23K 
[   ]postgresql-pg_variables-bitcode-1.2.5_git20230922-r0.apk2024-09-30 19:51 57K 
[   ]postgresql-pgmq-1.1.1-r1.apk2024-08-31 17:05 256K 
[   ]postgresql16-wal2json-2.6-r0.apk2024-07-10 19:46 70K 
[   ]pounce-3.1-r3.apk2024-01-03 15:43 30K 
[   ]pounce-doc-3.1-r3.apk2024-01-03 15:43 8.3K 
[   ]pounce-openrc-3.1-r3.apk2024-01-03 15:43 2.6K 
[   ]powerctl-1.1-r5.apk2024-03-16 18:43 105K 
[   ]powerctl-doc-1.1-r5.apk2024-03-16 18:43 2.9K 
[   ]powerstat-0.04.01-r0.apk2024-01-03 14:00 19K 
[   ]powerstat-bash-completion-0.04.01-r0.apk2024-01-03 14:00 2.0K 
[   ]powerstat-doc-0.04.01-r0.apk2024-01-03 14:00 4.0K 
[   ]ppl-1.2-r1.apk2023-05-21 14:09 34K 
[   ]ppl-dev-1.2-r1.apk2023-05-21 14:09 609K 
[   ]ppl-doc-1.2-r1.apk2023-05-21 14:09 9.1M 
[   ]pptpclient-1.10.0-r5.apk2024-06-10 13:55 33K 
[   ]pptpclient-doc-1.10.0-r5.apk2024-06-10 13:55 6.9K 
[   ]pqiv-2.12-r1.apk2022-10-25 15:04 58K 
[   ]pqiv-doc-2.12-r1.apk2022-10-25 15:04 12K 
[   ]predict-2.3.1-r0.apk2024-11-25 10:47 89K 
[   ]predict-doc-2.3.1-r0.apk2024-11-25 10:47 16K 
[   ]primecount-7.14-r0.apk2024-09-14 13:41 28K 
[   ]primecount-dev-7.14-r0.apk2024-09-14 13:41 3.5M 
[   ]primecount-doc-7.14-r0.apk2024-09-14 13:41 3.6K 
[   ]primecount-libs-7.14-r0.apk2024-09-14 13:41 141K 
[   ]primesieve-12.6-r0.apk2024-12-14 20:05 41K 
[   ]primesieve-dev-12.6-r0.apk2024-12-14 20:05 2.1M 
[   ]primesieve-doc-12.6-r0.apk2024-12-14 20:05 3.7K 
[   ]primesieve-libs-12.6-r0.apk2024-12-14 20:05 111K 
[   ]prjtrellis-1.4-r2.apk2024-04-30 03:06 1.3M 
[   ]prjtrellis-db-0_git20230929-r0.apk2024-01-12 05:29 3.0K 
[   ]prjtrellis-db-ecp5-0_git20230929-r0.apk2024-01-12 05:29 2.1M 
[   ]prjtrellis-db-machxo-0_git20230929-r0.apk2024-01-12 05:29 39K 
[   ]prjtrellis-db-machxo2-0_git20230929-r0.apk2024-01-12 05:29 1.0M 
[   ]prjtrellis-db-machxo3-0_git20230929-r0.apk2024-01-12 05:29 1.1M 
[   ]prjtrellis-db-machxo3d-0_git20230929-r0.apk2024-01-12 05:29 747K 
[   ]projectm-3.1.12-r2.apk2024-05-08 15:23 449K 
[   ]projectm-dev-3.1.12-r2.apk2024-05-08 15:23 1.1M 
[   ]projectm-presets-3.1.12-r2.apk2024-05-08 15:23 4.3M 
[   ]projectm-pulseaudio-3.1.12-r2.apk2024-05-08 15:23 447K 
[   ]projectm-pulseaudio-doc-3.1.12-r2.apk2024-05-08 15:23 1.7K 
[   ]projectm-sdl-3.1.12-r2.apk2024-05-08 15:23 352K 
[   ]projectsandcastle-loader-0_git20200307-r1.apk2022-10-25 15:04 4.5K 
[   ]prometheus-bind-exporter-0.7.0-r7.apk2025-01-20 06:38 4.2M 
[   ]prometheus-bind-exporter-openrc-0.7.0-r7.apk2025-01-20 06:38 1.6K 
[   ]prometheus-ceph-exporter-4.2.5-r0.apk2025-02-02 00:02 3.2M 
[   ]prometheus-ceph-exporter-openrc-4.2.5-r0.apk2025-02-02 00:02 1.6K 
[   ]prometheus-ipmi-exporter-1.8.0-r1.apk2025-01-20 06:38 3.9M 
[   ]prometheus-ipmi-exporter-doc-1.8.0-r1.apk2025-01-20 06:38 6.3K 
[   ]prometheus-ipmi-exporter-openrc-1.8.0-r1.apk2025-01-20 06:38 1.6K 
[   ]prometheus-opnsense-exporter-0.0.5-r1.apk2025-01-20 06:38 4.0M 
[   ]prometheus-opnsense-exporter-openrc-0.0.5-r1.apk2025-01-20 06:38 1.8K 
[   ]prometheus-podman-exporter-1.13.3-r1.apk2025-01-20 06:38 14M 
[   ]prometheus-smartctl-exporter-0.13.0-r1.apk2025-01-20 06:38 4.2M 
[   ]prometheus-smartctl-exporter-openrc-0.13.0-r1.apk2025-01-20 06:38 1.6K 
[   ]prometheus-smokeping-prober-0.7.1-r8.apk2025-01-20 06:38 4.1M 
[   ]prometheus-smokeping-prober-openrc-0.7.1-r8.apk2025-01-20 06:38 1.7K 
[   ]prometheus-unbound-exporter-0.4.6-r1.apk2025-01-20 06:38 3.3M 
[   ]prometheus-unbound-exporter-openrc-0.4.6-r1.apk2025-01-20 06:38 1.6K 
[   ]prosody-mod-auth_ldap-0.11_hg20201208-r0.apk2021-07-27 10:12 2.8K 
[   ]prosody-mod-auth_pam-0.11_hg20201208-r0.apk2021-07-27 10:12 1.8K 
[   ]prosody-mod-auth_sql-0.11_hg20201208-r0.apk2021-07-27 10:12 2.6K 
[   ]prosody-mod-block_registrations-0.11_hg20201208-r0.apk2021-07-27 10:12 1.8K 
[   ]prosody-mod-bookmarks-0.11_hg20201208-r0.apk2021-07-27 10:12 3.1K 
[   ]prosody-mod-broadcast-0.11_hg20201208-r0.apk2021-07-27 10:12 1.8K 
[   ]prosody-mod-c2s_conn_throttle-0.11_hg20201208-r0.apk2021-07-27 10:12 2.0K 
[   ]prosody-mod-cloud_notify-0.11_hg20201208-r0.apk2021-07-27 10:12 7.2K 
[   ]prosody-mod-conversejs-0.11_hg20201208-r0.apk2021-07-27 10:12 3.3K 
[   ]prosody-mod-host_guard-0.11_hg20201208-r0.apk2021-07-27 10:12 2.8K 
[   ]prosody-mod-http_upload_external-0.11_hg20201208-r0.apk2021-07-27 10:12 2.9K 
[   ]prosody-mod-ipcheck-0.11_hg20201208-r0.apk2021-07-27 10:12 2.0K 
[   ]prosody-mod-log_auth-0.11_hg20201208-r0.apk2021-07-27 10:12 1.8K 
[   ]prosody-mod-log_slow_events-0.11_hg20201208-r0.apk2021-07-27 10:12 2.2K 
[   ]prosody-mod-mam-0.11_hg20201208-r0.apk2021-07-27 10:12 5.9K 
[   ]prosody-mod-mam_muc-0.11_hg20201208-r0.apk2021-07-27 10:12 5.6K 
[   ]prosody-mod-muc_cloud_notify-0.11_hg20201208-r0.apk2021-07-27 10:12 6.9K 
[   ]prosody-mod-pastebin-0.11_hg20201208-r0.apk2021-07-27 10:12 3.7K 
[   ]prosody-mod-register_json-0.11_hg20201208-r0.apk2021-07-27 10:12 104K 
[   ]prosody-mod-register_redirect-0.11_hg20201208-r0.apk2021-07-27 10:12 2.7K 
[   ]prosody-mod-reload_modules-0.11_hg20201208-r0.apk2021-07-27 10:12 2.0K 
[   ]prosody-mod-require_otr-0.11_hg20201208-r0.apk2021-07-27 10:12 1.7K 
[   ]prosody-mod-s2s_idle_timeout-0.11_hg20201208-r0.apk2021-07-27 10:12 2.0K 
[   ]prosody-mod-saslname-0.11_hg20201208-r0.apk2021-07-27 10:12 1.6K 
[   ]prosody-mod-server_status-0.11_hg20201208-r0.apk2021-07-27 10:12 2.8K 
[   ]prosody-mod-smacks-0.11_hg20201208-r0.apk2021-07-27 10:12 8.6K 
[   ]prosody-mod-stanza_counter-0.11_hg20201208-r0.apk2021-07-27 10:12 2.0K 
[   ]prosody-mod-support_contact-0.11_hg20201208-r0.apk2021-07-27 10:12 2.0K 
[   ]prosody-mod-vcard_muc-0.11_hg20201208-r0.apk2021-07-27 10:12 2.7K 
[   ]prosody-mod-webpresence-0.11_hg20201208-r0.apk2021-07-27 10:12 2.6K 
[   ]prosody-modules-0.11_hg20201208-r0.apk2021-07-27 10:12 1.5K 
[   ]protoc-gen-go-1.36.4-r0.apk2025-02-06 03:27 1.9M 
[   ]protoconf-0.1.7-r9.apk2025-01-20 06:38 7.1M 
[   ]psftools-1.1.2-r0.apk2024-08-07 03:50 222K 
[   ]psftools-dev-1.1.2-r0.apk2024-08-07 03:50 91K 
[   ]psftools-doc-1.1.2-r0.apk2024-08-07 03:50 59K 
[   ]psi-notify-1.3.1-r0.apk2023-04-09 14:03 9.7K 
[   ]pspp-2.0.1-r0.apk2024-10-05 09:31 17M 
[   ]pspp-dbg-2.0.1-r0.apk2024-10-05 09:31 4.1M 
[   ]pspp-doc-2.0.1-r0.apk2024-10-05 09:31 8.8K 
[   ]psst-0_git20240526-r1.apk2024-08-08 23:13 7.2M 
[   ]ptpd-2.3.1-r1.apk2022-10-25 15:04 168K 
[   ]ptpd-doc-2.3.1-r1.apk2022-10-25 15:04 20K 
[   ]ptpd-openrc-2.3.1-r1.apk2022-10-25 15:04 2.1K 
[   ]ptylie-0.2-r1.apk2022-10-25 15:04 11K 
[   ]ptylie-doc-0.2-r1.apk2022-10-25 15:04 2.9K 
[   ]ptyxis-47.8-r0.apk2025-01-29 00:45 267K 
[   ]ptyxis-doc-47.8-r0.apk2025-01-29 00:45 2.6K 
[   ]ptyxis-lang-47.8-r0.apk2025-01-29 00:45 239K 
[   ]pully-1.0.0-r0.apk2022-03-01 20:45 2.5K 
[   ]pully-openrc-1.0.0-r0.apk2022-03-01 20:45 1.7K 
[   ]pulsar-client-cpp-3.1.2-r4.apk2024-04-30 03:06 1.2M 
[   ]pulsar-client-cpp-dev-3.1.2-r4.apk2024-04-30 03:06 54K 
[   ]purple-facebook-0.9.6-r0.apk2021-07-27 10:12 64K 
[   ]purple-hangouts-0_git20200422-r0.apk2021-07-27 10:12 191K 
[   ]pw-volume-0.5.0-r1.apk2023-05-24 10:51 306K 
[   ]pwauth-2.3.11-r2.apk2022-10-25 15:04 3.2K 
[   ]pwauth-doc-2.3.11-r2.apk2022-10-25 15:04 6.5K 
[   ]pxalarm-3.0.0-r0.apk2024-05-10 03:53 2.6K 
[   ]pxmenu-1.0.0-r1.apk2023-06-02 08:12 2.6K 
[   ]py3-actdiag-3.0.0-r5.apk2024-08-07 03:50 17K 
[   ]py3-actdiag-pyc-3.0.0-r5.apk2024-08-07 03:50 21K 
[   ]py3-aesedb-0.1.6-r2.apk2024-04-30 03:06 37K 
[   ]py3-aesedb-pyc-0.1.6-r2.apk2024-04-30 03:06 75K 
[   ]py3-agithub-2.2.2-r6.apk2024-08-30 23:44 18K 
[   ]py3-agithub-pyc-2.2.2-r6.apk2024-08-30 23:44 21K 
[   ]py3-aiodocker-0.21.0-r1.apk2024-04-30 03:06 29K 
[   ]py3-aiodocker-pyc-0.21.0-r1.apk2024-04-30 03:06 60K 
[   ]py3-aiohttp-debugtoolbar-0.6.1-r2.apk2024-08-07 03:50 446K 
[   ]py3-aiohttp-debugtoolbar-pyc-0.6.1-r2.apk2024-08-07 03:50 51K 
[   ]py3-aiohttp-jinja2-1.6-r2.apk2024-04-30 03:06 12K 
[   ]py3-aiohttp-jinja2-pyc-1.6-r2.apk2024-04-30 03:06 8.9K 
[   ]py3-aiohttp-remotes-1.3.0-r0.apk2024-11-04 13:28 9.8K 
[   ]py3-aiohttp-remotes-pyc-1.3.0-r0.apk2024-11-04 13:28 19K 
[   ]py3-aiohttp-session-2.12.1-r0.apk2024-10-15 23:15 10K 
[   ]py3-aiohttp-session-pyc-2.12.1-r0.apk2024-10-15 23:15 15K 
[   ]py3-aioopenssl-0.6.0-r4.apk2024-08-07 03:50 21K 
[   ]py3-aioopenssl-pyc-0.6.0-r4.apk2024-08-07 03:50 19K 
[   ]py3-aiosasl-0.5.0-r4.apk2024-08-30 23:44 29K 
[   ]py3-aiosasl-doc-0.5.0-r4.apk2024-08-30 23:44 16K 
[   ]py3-aiosasl-pyc-0.5.0-r4.apk2024-08-30 23:44 24K 
[   ]py3-aiosmb-0.4.11-r0.apk2024-09-06 20:03 604K 
[   ]py3-aiosmb-pyc-0.4.11-r0.apk2024-09-06 20:03 1.1M 
[   ]py3-aiowinreg-0.0.12-r0.apk2024-05-12 02:19 23K 
[   ]py3-aiowinreg-pyc-0.0.12-r0.apk2024-05-12 02:19 45K 
[   ]py3-aioxmpp-0.13.3-r3.apk2024-08-08 20:19 387K 
[   ]py3-aioxmpp-doc-0.13.3-r3.apk2024-08-08 20:19 18K 
[   ]py3-aioxmpp-pyc-0.13.3-r3.apk2024-08-08 20:19 673K 
[   ]py3-allfiles-1.0-r8.apk2024-04-30 03:06 3.3K 
[   ]py3-allfiles-pyc-1.0-r8.apk2024-04-30 03:06 3.0K 
[   ]py3-altgraph-0.17.4-r1.apk2024-04-30 03:06 20K 
[   ]py3-altgraph-pyc-0.17.4-r1.apk2024-04-30 03:06 29K 
[   ]py3-ansi2html-1.9.2-r0.apk2024-09-20 02:01 17K 
[   ]py3-ansi2html-pyc-1.9.2-r0.apk2024-09-20 02:01 22K 
[   ]py3-anyascii-0.3.2-r1.apk2024-04-30 03:06 274K 
[   ]py3-anyascii-pyc-0.3.2-r1.apk2024-04-30 03:06 3.0K 
[   ]py3-apicula-0.11.1-r1.apk2024-04-30 03:06 8.5M 
[   ]py3-apicula-pyc-0.11.1-r1.apk2024-04-30 03:07 179K 
[   ]py3-apio-0.9.5-r0.apk2024-06-23 05:00 72K 
[   ]py3-apio-pyc-0.9.5-r0.apk2024-06-23 05:00 77K 
[   ]py3-apsw-3.47.2.0-r0.apk2024-12-16 08:36 835K 
[   ]py3-apsw-pyc-3.47.2.0-r0.apk2024-12-16 08:36 516K 
[   ]py3-arcus-5.3.0-r1.apk2024-06-17 10:19 82K 
[   ]py3-asif-0.3.2-r3.apk2024-08-07 03:50 13K 
[   ]py3-asif-pyc-0.3.2-r3.apk2024-08-07 03:50 26K 
[   ]py3-ask-0.0.8-r8.apk2024-04-30 03:07 4.7K 
[   ]py3-ask-pyc-0.0.8-r8.apk2024-04-30 03:07 4.2K 
[   ]py3-astral-3.2-r3.apk2024-04-30 03:07 37K 
[   ]py3-astral-pyc-3.2-r3.apk2024-04-30 03:07 59K 
[   ]py3-asyauth-0.0.21-r0.apk2024-09-06 20:03 79K 
[   ]py3-asyauth-pyc-0.0.21-r0.apk2024-09-06 20:03 171K 
[   ]py3-async-lru-2.0.4-r1.apk2024-04-30 03:07 7.0K 
[   ]py3-async-lru-pyc-2.0.4-r1.apk2024-04-30 03:07 8.3K 
[   ]py3-asysocks-0.2.13-r0.apk2024-09-06 20:04 87K 
[   ]py3-asysocks-pyc-0.2.13-r0.apk2024-09-06 20:04 232K 
[   ]py3-avro-1.11.3-r1.apk2024-04-30 03:07 97K 
[   ]py3-avro-pyc-1.11.3-r1.apk2024-04-30 03:07 191K 
[   ]py3-b2sdk-2.8.0-r0.apk2025-01-27 00:42 215K 
[   ]py3-b2sdk-pyc-2.8.0-r0.apk2025-01-27 00:42 402K 
[   ]py3-banal-1.0.6-r4.apk2024-08-07 03:50 6.6K 
[   ]py3-banal-pyc-1.0.6-r4.apk2024-08-07 03:50 7.0K 
[   ]py3-bandwidth-sdk-3.1.0-r8.apk2024-08-30 23:44 46K 
[   ]py3-bandwidth-sdk-pyc-3.1.0-r8.apk2024-08-30 23:44 69K 
[   ]py3-barcodenumber-0.2.1-r10.apk2024-04-30 03:07 16K 
[   ]py3-barcodenumber-pyc-0.2.1-r10.apk2024-04-30 03:07 4.0K 
[   ]py3-base58-2.1.1-r2.apk2024-08-08 20:13 11K 
[   ]py3-beartype-0.19.0-r0.apk2024-09-28 00:45 827K 
[   ]py3-beartype-pyc-0.19.0-r0.apk2024-09-28 00:45 595K 
[   ]py3-bencode-4.0.0-r1.apk2024-04-30 03:07 17K 
[   ]py3-bencode-pyc-4.0.0-r1.apk2024-04-30 03:07 10K 
[   ]py3-bibtexparser-1.4.3-r0.apk2025-01-20 06:38 40K 
[   ]py3-bibtexparser-pyc-1.4.3-r0.apk2025-01-20 06:38 49K 
[   ]py3-bidict-0.23.1-r1.apk2024-04-30 03:07 27K 
[   ]py3-bidict-pyc-0.23.1-r1.apk2024-04-30 03:07 28K 
[   ]py3-bite-parser-0.2.5-r0.apk2024-10-28 22:52 13K 
[   ]py3-bite-parser-pyc-0.2.5-r0.apk2024-10-28 22:52 23K 
[   ]py3-bitstruct-8.19.0-r1.apk2024-04-30 03:07 35K 
[   ]py3-bitstruct-pyc-8.19.0-r1.apk2024-04-30 03:07 13K 
[   ]py3-bleak-0.22.3-r0.apk2024-10-21 04:46 370K 
[   ]py3-blockchain-1.4.4-r7.apk2024-08-07 17:51 11K 
[   ]py3-blockchain-pyc-1.4.4-r7.apk2024-08-07 17:51 18K 
[   ]py3-blockdiag-3.0.0-r6.apk2025-01-10 19:23 68K 
[   ]py3-blockdiag-pyc-3.0.0-r6.apk2025-01-10 19:23 149K 
[   ]py3-blockdiag-tests-3.0.0-r6.apk2025-01-10 19:23 2.5M 
[   ]py3-bookkeeper-4.17.1-r0.apk2024-10-22 15:42 43K 
[   ]py3-bookkeeper-pyc-4.17.1-r0.apk2024-10-22 15:42 66K 
[   ]py3-bottle-api-0.0.4-r7.apk2024-04-30 03:07 4.6K 
[   ]py3-bottle-api-pyc-0.0.4-r7.apk2024-04-30 03:07 4.9K 
[   ]py3-bottle-pgsql-0.2-r5.apk2024-04-30 03:07 4.0K 
[   ]py3-bottle-redis-0.2.3-r6.apk2024-04-30 03:07 3.0K 
[   ]py3-bottle-redis-pyc-0.2.3-r6.apk2024-04-30 03:07 2.8K 
[   ]py3-bottle-renderer-0.1.1-r9.apk2024-04-30 03:07 3.7K 
[   ]py3-bottle-renderer-pyc-0.1.1-r9.apk2024-04-30 03:07 3.5K 
[   ]py3-bottle-request-0.2.0-r9.apk2024-04-30 03:07 2.9K 
[   ]py3-bottle-request-pyc-0.2.0-r9.apk2024-04-30 03:07 2.3K 
[   ]py3-bottle-rest-0.6.0-r1.apk2024-04-30 03:07 5.8K 
[   ]py3-bottle-rest-pyc-0.6.0-r1.apk2024-04-30 03:07 4.9K 
[   ]py3-bottle-session-1.0-r6.apk2024-04-30 03:07 9.9K 
[   ]py3-bottle-session-pyc-1.0-r6.apk2024-04-30 03:07 7.5K 
[   ]py3-bottle-sqlalchemy-0.4.3-r8.apk2024-04-30 03:07 4.6K 
[   ]py3-bottle-sqlalchemy-pyc-0.4.3-r8.apk2024-04-30 03:07 5.4K 
[   ]py3-bottle-sqlite-0.2.0-r7.apk2024-04-30 03:07 4.4K 
[   ]py3-bottle-sqlite-pyc-0.2.0-r7.apk2024-04-30 03:07 5.0K 
[   ]py3-bottle-websocket-0.2.9-r8.apk2024-04-30 03:07 4.4K 
[   ]py3-bottle-websocket-pyc-0.2.9-r8.apk2024-04-30 03:07 2.9K 
[   ]py3-bottle-werkzeug-0.1.1-r9.apk2024-04-30 03:07 3.8K 
[   ]py3-bottle-werkzeug-pyc-0.1.1-r9.apk2024-04-30 03:07 4.0K 
[   ]py3-bson-0.5.10-r6.apk2024-08-07 03:50 11K 
[   ]py3-bson-pyc-0.5.10-r6.apk2024-08-07 03:50 18K 
[   ]py3-businesstime-0.3.0-r9.apk2024-04-30 03:07 10K 
[   ]py3-businesstime-pyc-0.3.0-r9.apk2024-04-30 03:07 16K 
[   ]py3-c3d-0.5.2-r1.apk2024-04-30 03:07 32K 
[   ]py3-c3d-pyc-0.5.2-r1.apk2024-04-30 03:07 53K 
[   ]py3-caldav-1.4.0-r0.apk2024-11-10 02:23 67K 
[   ]py3-caldav-pyc-1.4.0-r0.apk2024-11-10 02:23 90K 
[   ]py3-cassandra-driver-3.29.2-r0.apk2024-10-22 01:31 286K 
[   ]py3-cassandra-driver-pyc-3.29.2-r0.apk2024-10-22 01:31 560K 
[   ]py3-catkin-pkg-0.5.2-r4.apk2024-09-02 22:36 57K 
[   ]py3-catkin-pkg-pyc-0.5.2-r4.apk2024-09-02 22:36 102K 
[   ]py3-cchardet-2.1.7-r5.apk2024-08-30 23:44 124K 
[   ]py3-cchardet-pyc-2.1.7-r5.apk2024-08-30 23:44 2.8K 
[   ]py3-cdio-2.1.1-r6.apk2025-01-20 21:47 100K 
[   ]py3-cdio-pyc-2.1.1-r6.apk2025-01-20 21:47 43K 
[   ]py3-certauth-1.3.0-r1.apk2024-07-10 19:46 8.5K 
[   ]py3-certauth-pyc-1.3.0-r1.apk2024-07-10 19:46 8.8K 
[   ]py3-chameleon-4.5.4-r0.apk2024-04-30 03:07 97K 
[   ]py3-chameleon-pyc-4.5.4-r0.apk2024-04-30 03:07 131K 
[   ]py3-ciso8601-2.3.1-r1.apk2024-04-30 03:07 15K 
[   ]py3-cjkwrap-2.2-r4.apk2024-08-07 03:50 4.4K 
[   ]py3-cjkwrap-pyc-2.2-r4.apk2024-08-07 03:50 5.0K 
[   ]py3-class-doc-1.25-r1.apk2024-04-30 03:07 5.8K 
[   ]py3-class-doc-pyc-1.25-r1.apk2024-04-30 03:07 8.4K 
[   ]py3-click-completion-0.5.2-r1.apk2024-04-30 03:07 11K 
[   ]py3-click-completion-pyc-0.5.2-r1.apk2024-04-30 03:07 14K 
[   ]py3-click-default-group-1.2.4-r1.apk2024-04-30 03:07 4.9K 
[   ]py3-click-default-group-pyc-1.2.4-r1.apk2024-04-30 03:07 4.2K 
[   ]py3-click-threading-0.5.0-r5.apk2024-08-08 20:13 6.1K 
[   ]py3-click-threading-pyc-0.5.0-r5.apk2024-08-08 20:13 7.6K 
[   ]py3-clickclick-20.10.2-r4.apk2024-08-07 03:50 7.7K 
[   ]py3-clickclick-pyc-20.10.2-r4.apk2024-08-07 03:50 9.5K 
[   ]py3-cmd2-2.4.3-r2.apk2024-04-30 03:07 139K 
[   ]py3-cmd2-pyc-2.4.3-r2.apk2024-04-30 03:07 222K 
[   ]py3-cobs-1.2.0-r4.apk2024-08-30 23:44 16K 
[   ]py3-cobs-pyc-1.2.0-r4.apk2024-08-30 23:44 12K 
[   ]py3-colander-2.0-r2.apk2024-08-07 03:50 62K 
[   ]py3-colander-pyc-2.0-r2.apk2024-08-07 03:50 42K 
[   ]py3-colorthief-0.2.1-r1.apk2024-04-30 03:07 7.0K 
[   ]py3-colorthief-pyc-0.2.1-r1.apk2024-04-30 03:07 9.8K 
[   ]py3-columnize-0.3.11-r4.apk2024-08-07 03:50 8.3K 
[   ]py3-columnize-pyc-0.3.11-r4.apk2024-08-07 03:50 7.2K 
[   ]py3-compdb-0.2.0-r8.apk2024-08-07 17:51 23K 
[   ]py3-compdb-doc-0.2.0-r8.apk2024-08-07 17:51 2.8K 
[   ]py3-compdb-pyc-0.2.0-r8.apk2024-08-07 17:51 39K 
[   ]py3-confluent-kafka-1.8.2-r5.apk2024-08-08 20:13 100K 
[   ]py3-confluent-kafka-pyc-1.8.2-r5.apk2024-08-08 20:13 77K 
[   ]py3-cookiecutter-2.6.0-r1.apk2024-04-30 03:07 35K 
[   ]py3-cookiecutter-doc-2.6.0-r1.apk2024-04-30 03:07 3.4K 
[   ]py3-cookiecutter-pyc-2.6.0-r1.apk2024-04-30 03:07 47K 
[   ]py3-coreapi-2.3.3-r9.apk2024-08-07 03:50 22K 
[   ]py3-coreapi-pyc-2.3.3-r9.apk2024-08-07 03:50 43K 
[   ]py3-crc16-0.1.1-r10.apk2024-04-30 03:07 11K 
[   ]py3-crc16-pyc-0.1.1-r10.apk2024-04-30 03:07 4.4K 
[   ]py3-createrepo_c-1.1.4-r0.apk2024-09-27 04:02 43K 
[   ]py3-createrepo_c-pyc-1.1.4-r0.apk2024-09-27 04:02 14K 
[   ]py3-cssutils-2.11.1-r1.apk2024-09-26 05:09 155K 
[   ]py3-cssutils-pyc-2.11.1-r1.apk2024-09-26 05:09 278K 
[   ]py3-cstruct-5.3-r1.apk2024-04-30 03:07 22K 
[   ]py3-cstruct-pyc-5.3-r1.apk2024-04-30 03:07 36K 
[   ]py3-cucumber-tag-expressions-6.1.1-r0.apk2024-12-01 21:14 8.4K 
[   ]py3-cucumber-tag-expressions-pyc-6.1.1-r0.apk2024-12-01 21:14 10K 
[   ]py3-cvxpy-1.2.1-r5.apk2024-08-07 03:50 662K 
[   ]py3-cvxpy-pyc-1.2.1-r5.apk2024-08-07 03:50 936K 
[   ]py3-cython-test-exception-raiser-1.0.2-r0.apk2024-05-11 17:34 17K 
[   ]py3-cython-test-exception-raiser-pyc-1.0.2-r0.apk2024-05-11 17:34 1.6K 
[   ]py3-dataclasses-json-0.6.7-r0.apk2024-06-12 03:20 27K 
[   ]py3-dataclasses-json-pyc-0.6.7-r0.apk2024-06-12 03:20 35K 
[   ]py3-dataclasses-serialization-1.3.1-r3.apk2024-04-30 03:07 11K 
[   ]py3-dataclasses-serialization-pyc-1.3.1-r3.apk2024-04-30 03:07 14K 
[   ]py3-dateparser-1.2.0-r0.apk2024-11-25 10:47 196K 
[   ]py3-dateparser-pyc-1.2.0-r0.apk2024-11-25 10:47 333K 
[   ]py3-daterangestr-0.0.3-r8.apk2024-04-30 03:07 4.1K 
[   ]py3-daterangestr-pyc-0.0.3-r8.apk2024-04-30 03:07 3.9K 
[   ]py3-dbus-fast-2.24.4-r0.apk2024-11-17 00:58 576K 
[   ]py3-dbus-fast-doc-2.24.4-r0.apk2024-11-17 00:58 5.1K 
[   ]py3-dbus-fast-pyc-2.24.4-r0.apk2024-11-17 00:58 125K 
[   ]py3-deluge-client-1.10.2-r0.apk2024-08-30 23:33 13K 
[   ]py3-deluge-client-doc-1.10.2-r0.apk2024-08-30 23:33 2.0K 
[   ]py3-deluge-client-pyc-1.10.2-r0.apk2024-08-30 23:33 19K 
[   ]py3-dep-logic-0.4.10-r0.apk2024-12-15 23:02 28K 
[   ]py3-dep-logic-pyc-0.4.10-r0.apk2024-12-15 23:02 53K 
[   ]py3-dexml-0.5.1-r9.apk2024-04-30 03:07 22K 
[   ]py3-dexml-pyc-0.5.1-r9.apk2024-04-30 03:07 37K 
[   ]py3-discid-1.2.0-r6.apk2024-08-08 20:13 24K 
[   ]py3-discid-pyc-1.2.0-r6.apk2024-08-08 20:13 13K 
[   ]py3-distorm3-3.5.2-r6.apk2024-08-08 20:19 47K 
[   ]py3-distorm3-pyc-3.5.2-r6.apk2024-08-08 20:19 48K 
[   ]py3-django-compress-staticfiles-1.0.1_beta0-r6.apk2024-08-08 20:23 14K 
[   ]py3-django-compress-staticfiles-pyc-1.0.1_beta0-r6.apk2024-08-08 20:23 15K 
[   ]py3-django-suit-0.2.28-r8.apk2024-08-07 03:50 366K 
[   ]py3-django-suit-pyc-0.2.28-r8.apk2024-08-07 03:50 32K 
[   ]py3-django-taggit-serializer-0.1.7-r8.apk2024-04-30 03:07 3.7K 
[   ]py3-django-taggit-serializer-pyc-0.1.7-r8.apk2024-04-30 03:07 4.7K 
[   ]py3-dnslib-0.9.25-r0.apk2024-09-20 02:16 51K 
[   ]py3-dnslib-pyc-0.9.25-r0.apk2024-09-20 02:16 109K 
[   ]py3-dogpile.cache-1.3.3-r0.apk2024-10-19 17:04 52K 
[   ]py3-dogpile.cache-pyc-1.3.3-r0.apk2024-10-19 17:04 90K 
[   ]py3-doit-0.36.0-r5.apk2024-08-30 23:44 76K 
[   ]py3-doit-pyc-0.36.0-r5.apk2024-08-30 23:44 133K 
[   ]py3-dominate-2.9.1-r1.apk2024-04-30 03:07 24K 
[   ]py3-dominate-pyc-2.9.1-r1.apk2024-04-30 03:07 33K 
[   ]py3-dotty-dict-1.3.1-r4.apk2024-08-07 03:50 8.1K 
[   ]py3-dotty-dict-pyc-1.3.1-r4.apk2024-08-07 03:50 8.4K 
[   ]py3-downloader-cli-0.3.4-r1.apk2024-04-30 03:07 11K 
[   ]py3-downloader-cli-pyc-0.3.4-r1.apk2024-04-30 03:07 14K 
[   ]py3-dpath-2.2.0-r0.apk2024-09-23 00:04 17K 
[   ]py3-dpath-pyc-2.2.0-r0.apk2024-09-23 00:04 18K 
[   ]py3-drf-yasg-1.21.7-r2.apk2024-09-02 22:31 4.1M 
[   ]py3-drf-yasg-pyc-1.21.7-r2.apk2024-09-02 22:31 97K 
[   ]py3-dt-schema-2024.11-r0.apk2024-11-12 05:08 78K 
[   ]py3-dt-schema-pyc-2024.11-r0.apk2024-11-12 05:08 50K 
[   ]py3-dunamai-1.23.0-r0.apk2024-12-10 09:44 26K 
[   ]py3-dunamai-pyc-1.23.0-r0.apk2024-12-10 09:44 43K 
[   ]py3-duniterpy-1.1.1-r3.apk2024-04-30 03:07 221K 
[   ]py3-dweepy-0.3.0-r7.apk2024-04-30 03:07 8.8K 
[   ]py3-dweepy-pyc-0.3.0-r7.apk2024-04-30 03:07 6.0K 
[   ]py3-ecos-2.0.11-r4.apk2024-08-07 17:51 27K 
[   ]py3-ecos-pyc-2.0.11-r4.apk2024-08-07 17:51 3.4K 
[   ]py3-edalize-0.5.4-r0.apk2024-07-23 03:14 122K 
[   ]py3-edalize-pyc-0.5.4-r0.apk2024-07-23 03:14 190K 
[   ]py3-editdistance-s-1.0.0-r6.apk2024-08-07 03:50 14K 
[   ]py3-editdistance-s-pyc-1.0.0-r6.apk2024-08-07 03:50 1.8K 
[   ]py3-empy-3.3.4-r7.apk2024-08-08 20:23 39K 
[   ]py3-empy-pyc-3.3.4-r7.apk2024-08-08 20:23 58K 
[   ]py3-enzyme-0.5.1-r0.apk2024-06-26 22:30 23K 
[   ]py3-enzyme-pyc-0.5.1-r0.apk2024-06-26 22:30 19K 
[   ]py3-eradicate-2.3.0-r2.apk2024-08-30 23:44 7.3K 
[   ]py3-eradicate-doc-2.3.0-r2.apk2024-08-30 23:44 2.3K 
[   ]py3-eradicate-pyc-2.3.0-r2.apk2024-08-30 23:44 8.1K 
[   ]py3-euclid3-0.01-r8.apk2024-08-30 23:44 14K 
[   ]py3-euclid3-pyc-0.01-r8.apk2024-08-30 23:44 32K 
[   ]py3-evohome-client-0.3.7-r4.apk2024-08-08 20:13 19K 
[   ]py3-evohome-client-pyc-0.3.7-r4.apk2024-08-08 20:13 27K 
[   ]py3-fastdiff-0.3.0-r5.apk2024-08-07 03:50 38K 
[   ]py3-fastdiff-pyc-0.3.0-r5.apk2024-08-07 03:50 3.9K 
[   ]py3-feedgen-1.0.0-r1.apk2024-04-30 03:07 40K 
[   ]py3-feedgen-pyc-1.0.0-r1.apk2024-04-30 03:07 61K 
[   ]py3-feedgenerator-2.1.0-r2.apk2024-08-07 03:50 18K 
[   ]py3-feedgenerator-pyc-2.1.0-r2.apk2024-08-07 03:50 26K 
[   ]py3-ffmpeg-0.2.0-r4.apk2024-08-08 20:19 24K 
[   ]py3-ffmpeg-pyc-0.2.0-r4.apk2024-08-08 20:19 33K 
[   ]py3-findpython-0.6.2-r0.apk2024-10-23 23:15 17K 
[   ]py3-findpython-pyc-0.6.2-r0.apk2024-10-23 23:15 30K 
[   ]py3-firmata-1.0.3-r10.apk2024-08-08 20:19 14K 
[   ]py3-firmata-pyc-1.0.3-r10.apk2024-08-08 20:19 21K 
[   ]py3-flake8-blind-except-0.2.1-r4.apk2024-04-30 03:07 4.9K 
[   ]py3-flake8-blind-except-pyc-0.2.1-r4.apk2024-04-30 03:07 2.3K 
[   ]py3-flake8-builtins-2.5.0-r0.apk2024-12-07 22:55 12K 
[   ]py3-flake8-builtins-pyc-2.5.0-r0.apk2024-12-07 22:55 7.6K 
[   ]py3-flake8-copyright-0.2.4-r3.apk2024-04-30 03:07 18K 
[   ]py3-flake8-copyright-pyc-0.2.4-r3.apk2024-04-30 03:07 3.1K 
[   ]py3-flake8-debugger-4.1.2-r4.apk2024-04-30 03:07 6.0K 
[   ]py3-flake8-debugger-pyc-4.1.2-r4.apk2024-04-30 03:07 5.7K 
[   ]py3-flake8-import-order-0.18.2-r4.apk2024-04-30 03:07 15K 
[   ]py3-flake8-import-order-pyc-0.18.2-r4.apk2024-04-30 03:07 16K 
[   ]py3-flake8-isort-6.1.1-r1.apk2024-04-30 03:07 18K 
[   ]py3-flake8-isort-pyc-6.1.1-r1.apk2024-04-30 03:07 5.1K 
[   ]py3-flake8-polyfill-1.0.2-r5.apk2024-08-07 03:50 6.8K 
[   ]py3-flake8-polyfill-pyc-1.0.2-r5.apk2024-08-07 03:50 5.4K 
[   ]py3-flake8-print-5.0.0-r5.apk2024-04-30 03:07 6.4K 
[   ]py3-flake8-print-pyc-5.0.0-r5.apk2024-04-30 03:07 4.1K 
[   ]py3-flake8-snippets-0.2-r8.apk2024-04-30 03:07 5.0K 
[   ]py3-flake8-snippets-pyc-0.2-r8.apk2024-04-30 03:07 3.4K 
[   ]py3-flake8-todo-0.7-r7.apk2024-04-30 03:07 3.3K 
[   ]py3-flake8-todo-pyc-0.7-r7.apk2024-04-30 03:07 2.0K 
[   ]py3-flask-accept-0.0.6-r1.apk2024-04-30 03:07 4.7K 
[   ]py3-flask-accept-pyc-0.0.6-r1.apk2024-04-30 03:07 3.4K 
[   ]py3-flask-admin-1.6.1-r3.apk2024-04-30 03:07 6.5M 
[   ]py3-flask-admin-pyc-1.6.1-r3.apk2024-04-30 03:07 358K 
[   ]py3-flask-autorouter-0.2.2-r3.apk2024-04-30 03:07 4.8K 
[   ]py3-flask-autorouter-pyc-0.2.2-r3.apk2024-04-30 03:07 4.7K 
[   ]py3-flask-basicauth-0.2.0-r9.apk2024-04-30 03:07 5.1K 
[   ]py3-flask-basicauth-pyc-0.2.0-r9.apk2024-04-30 03:07 3.8K 
[   ]py3-flask-bcrypt-1.0.1-r5.apk2024-04-30 03:07 6.9K 
[   ]py3-flask-bcrypt-pyc-1.0.1-r5.apk2024-04-30 03:07 5.5K 
[   ]py3-flask-bootstrap-3.3.7.1-r8.apk2024-04-30 03:07 449K 
[   ]py3-flask-bootstrap-pyc-3.3.7.1-r8.apk2024-04-30 03:07 11K 
[   ]py3-flask-cache-0.13.1-r9.apk2024-04-30 03:07 12K 
[   ]py3-flask-cache-pyc-0.13.1-r9.apk2024-04-30 03:07 18K 
[   ]py3-flask-cdn-1.5.3-r8.apk2024-08-30 23:44 4.5K 
[   ]py3-flask-cdn-pyc-1.5.3-r8.apk2024-08-30 23:44 3.8K 
[   ]py3-flask-components-0.1.1-r9.apk2024-04-30 03:07 3.6K 
[   ]py3-flask-components-pyc-0.1.1-r9.apk2024-04-30 03:07 3.0K 
[   ]py3-flask-dbconfig-0.3.12-r8.apk2024-04-30 03:07 85K 
[   ]py3-flask-dbconfig-pyc-0.3.12-r8.apk2024-04-30 03:07 6.0K 
[   ]py3-flask-flatpages-0.8.3-r0.apk2024-12-07 01:51 10K 
[   ]py3-flask-flatpages-pyc-0.8.3-r0.apk2024-12-07 01:51 13K 
[   ]py3-flask-gzip-0.2-r8.apk2024-04-30 03:07 2.9K 
[   ]py3-flask-gzip-pyc-0.2-r8.apk2024-04-30 03:07 2.5K 
[   ]py3-flask-headers-1.0-r9.apk2024-04-30 03:07 2.9K 
[   ]py3-flask-headers-pyc-1.0-r9.apk2024-04-30 03:07 2.2K 
[   ]py3-flask-httpauth-4.8.0-r2.apk2024-04-30 03:07 7.7K 
[   ]py3-flask-httpauth-pyc-4.8.0-r2.apk2024-04-30 03:07 10K 
[   ]py3-flask-json-schema-0.0.5-r4.apk2024-04-30 03:07 3.8K 
[   ]py3-flask-json-schema-pyc-0.0.5-r4.apk2024-04-30 03:07 3.2K 
[   ]py3-flask-limiter-3.10.1-r0.apk2025-01-20 06:38 26K 
[   ]py3-flask-limiter-pyc-3.10.1-r0.apk2025-01-20 06:38 47K 
[   ]py3-flask-loopback-1.4.7-r7.apk2024-04-30 03:07 5.3K 
[   ]py3-flask-loopback-pyc-1.4.7-r7.apk2024-04-30 03:07 7.6K 
[   ]py3-flask-mailman-1.1.1-r0.apk2024-07-10 05:13 16K 
[   ]py3-flask-mailman-pyc-1.1.1-r0.apk2024-07-10 05:13 25K 
[   ]py3-flask-markdown-0.3-r8.apk2024-04-30 03:07 5.3K 
[   ]py3-flask-markdown-pyc-0.3-r8.apk2024-04-30 03:07 3.6K 
[   ]py3-flask-migrate-4.0.7-r0.apk2024-10-19 17:04 13K 
[   ]py3-flask-migrate-pyc-4.0.7-r0.apk2024-10-19 17:04 18K 
[   ]py3-flask-paginate-0.8.1-r6.apk2024-04-30 03:07 7.9K 
[   ]py3-flask-paginate-pyc-0.8.1-r6.apk2024-04-30 03:07 11K 
[   ]py3-flask-peewee-3.0.6-r0.apk2024-09-23 00:04 172K 
[   ]py3-flask-peewee-pyc-3.0.6-r0.apk2024-09-23 00:04 95K 
[   ]py3-flask-qrcode-3.2.0-r0.apk2024-12-12 07:32 18K 
[   ]py3-flask-qrcode-pyc-3.2.0-r0.apk2024-12-12 07:32 5.9K 
[   ]py3-flask-restaction-0.25.3-r8.apk2024-04-30 03:07 115K 
[   ]py3-flask-restaction-pyc-0.25.3-r8.apk2024-04-30 03:07 20K 
[   ]py3-flask-restless-0.17.0-r9.apk2024-04-30 03:07 40K 
[   ]py3-flask-restless-pyc-0.17.0-r9.apk2024-04-30 03:07 59K 
[   ]py3-flask-security-5.4.3-r2.apk2024-09-30 06:04 267K 
[   ]py3-flask-security-pyc-5.4.3-r2.apk2024-09-30 06:04 210K 
[   ]py3-flask-themer-2.0.0-r2.apk2024-08-08 20:19 7.6K 
[   ]py3-flask-themer-pyc-2.0.0-r2.apk2024-08-08 20:19 6.7K 
[   ]py3-forbiddenfruit-0.1.4-r2.apk2024-04-30 03:07 8.7K 
[   ]py3-forbiddenfruit-pyc-0.1.4-r2.apk2024-04-30 03:07 9.4K 
[   ]py3-fpdf-1.7.2-r5.apk2024-04-30 03:07 39K 
[   ]py3-fpdf-pyc-1.7.2-r5.apk2024-04-30 03:07 89K 
[   ]py3-freetype-py-2.5.1-r0.apk2024-09-11 00:00 161K 
[   ]py3-funcparserlib-1.0.1-r4.apk2024-04-30 03:07 16K 
[   ]py3-funcparserlib-pyc-1.0.1-r4.apk2024-04-30 03:07 18K 
[   ]py3-furl-2.1.3-r4.apk2024-08-07 17:51 21K 
[   ]py3-furl-pyc-2.1.3-r4.apk2024-08-07 17:51 32K 
[   ]py3-fuzzywuzzy-0.18.0-r7.apk2024-08-07 03:50 18K 
[   ]py3-fuzzywuzzy-pyc-0.18.0-r7.apk2024-08-07 03:50 14K 
[   ]py3-gdcm-3.0.24-r0.apk2024-05-15 00:47 695K 
[   ]py3-geoip-1.3.2-r4.apk2024-08-08 20:23 22K 
[   ]py3-gevent-websocket-0.10.1-r8.apk2024-08-30 23:44 19K 
[   ]py3-gevent-websocket-pyc-0.10.1-r8.apk2024-08-30 23:44 30K 
[   ]py3-git-versioner-7.1-r1.apk2024-04-30 03:07 12K 
[   ]py3-git-versioner-pyc-7.1-r1.apk2024-04-30 03:07 13K 
[   ]py3-github3-4.0.1-r1.apk2024-04-30 03:07 128K 
[   ]py3-github3-pyc-4.0.1-r1.apk2024-04-30 03:07 227K 
[   ]py3-glob2-0.7-r6.apk2024-07-13 19:11 10K 
[   ]py3-glob2-pyc-0.7-r6.apk2024-07-13 19:11 13K 
[   ]py3-gls-1.3.1-r1.apk2024-04-30 03:07 46K 
[   ]py3-gls-pyc-1.3.1-r1.apk2024-04-30 03:07 84K 
[   ]py3-gnucash-5.10-r0.apk2024-12-27 15:26 311K 
[   ]py3-google-trans-new-1.1.9-r2.apk2024-04-30 03:07 8.9K 
[   ]py3-google-trans-new-pyc-1.1.9-r2.apk2024-04-30 03:07 10K 
[   ]py3-googletrans-3.0.0-r5.apk2024-08-07 17:51 15K 
[   ]py3-googletrans-pyc-3.0.0-r5.apk2024-08-07 17:51 17K 
[   ]py3-grequests-0.7.0-r2.apk2024-08-07 03:50 6.6K 
[   ]py3-grequests-pyc-0.7.0-r2.apk2024-08-07 03:50 5.6K 
[   ]py3-gtkspellcheck-5.0.3-r0.apk2024-12-07 22:12 44K 
[   ]py3-gtkspellcheck-pyc-5.0.3-r0.apk2024-12-07 22:12 29K 
[   ]py3-halo-0.0.31-r5.apk2024-08-07 03:50 11K 
[   ]py3-halo-pyc-0.0.31-r5.apk2024-08-07 03:50 14K 
[   ]py3-hatch-openzim-0.2.0-r0.apk2024-04-30 03:07 24K 
[   ]py3-hatch-openzim-bootstrap-0.1.0-r0.apk2024-04-30 03:07 24K 
[   ]py3-hatch-openzim-bootstrap-pyc-0.1.0-r0.apk2024-04-30 03:07 12K 
[   ]py3-hatch-openzim-pyc-0.2.0-r0.apk2024-04-30 03:07 13K 
[   ]py3-helper-2.5.0-r5.apk2024-08-07 03:50 19K 
[   ]py3-helper-pyc-2.5.0-r5.apk2024-08-07 03:50 28K 
[   ]py3-hfst-3.16.0-r2.apk2024-04-30 03:07 370K 
[   ]py3-hg-git-1.1.1-r1.apk2024-04-30 03:07 70K 
[   ]py3-hg-git-pyc-1.1.1-r1.apk2024-04-30 03:07 107K 
[   ]py3-highctidh-1.0.2024092800-r0.apk2024-11-25 21:33 333K 
[   ]py3-highctidh-pyc-1.0.2024092800-r0.apk2024-11-25 21:33 11K 
[   ]py3-hishel-0.0.33-r0.apk2024-10-07 07:28 33K 
[   ]py3-hishel-pyc-0.0.33-r0.apk2024-10-07 07:28 73K 
[   ]py3-html5-parser-0.4.12-r1.apk2024-04-30 03:07 167K 
[   ]py3-html5-parser-pyc-0.4.12-r1.apk2024-04-30 03:07 22K 
[   ]py3-hurry.filesize-0.9-r8.apk2024-08-07 03:50 4.4K 
[   ]py3-hurry.filesize-pyc-0.9-r8.apk2024-08-07 03:50 3.0K 
[   ]py3-igraph-0.11.8-r0.apk2024-11-25 10:47 405K 
[   ]py3-igraph-dev-0.11.8-r0.apk2024-11-25 10:47 2.3K 
[   ]py3-igraph-pyc-0.11.8-r0.apk2024-11-25 10:47 370K 
[   ]py3-imageio-2.35.1-r0.apk2024-08-29 12:07 287K 
[   ]py3-imageio-ffmpeg-0.4.9-r1.apk2024-07-10 19:46 16K 
[   ]py3-imageio-ffmpeg-pyc-0.4.9-r1.apk2024-07-10 19:46 20K 
[   ]py3-imageio-pyc-2.35.1-r0.apk2024-08-29 12:07 504K 
[   ]py3-imdbpy-2021.4.18-r5.apk2024-08-08 20:23 229K 
[   ]py3-imdbpy-pyc-2021.4.18-r5.apk2024-08-08 20:23 242K 
[   ]py3-incoming-0.3.1-r8.apk2024-08-07 03:50 13K 
[   ]py3-incoming-pyc-0.3.1-r8.apk2024-08-07 03:50 20K 
[   ]py3-infinity-1.5-r6.apk2024-08-30 23:44 4.1K 
[   ]py3-infinity-pyc-1.5-r6.apk2024-08-30 23:44 3.5K 
[   ]py3-iniparse-0.5-r7.apk2024-08-30 23:44 18K 
[   ]py3-iniparse-doc-0.5-r7.apk2024-08-30 23:44 10K 
[   ]py3-iniparse-pyc-0.5-r7.apk2024-08-30 23:44 24K 
[   ]py3-intervals-0.9.2-r5.apk2024-08-30 23:44 9.2K 
[   ]py3-intervals-pyc-0.9.2-r5.apk2024-08-30 23:44 15K 
[   ]py3-ioctl-opt-1.3-r0.apk2025-01-27 22:46 11K 
[   ]py3-ioctl-opt-pyc-1.3-r0.apk2025-01-27 22:46 4.4K 
[   ]py3-irc-20.4.1-r0.apk2024-06-09 10:04 41K 
[   ]py3-irc-pyc-20.4.1-r0.apk2024-06-09 10:04 71K 
[   ]py3-isbnlib-3.10.14-r0.apk2025-01-20 06:38 42K 
[   ]py3-isbnlib-pyc-3.10.14-r0.apk2025-01-20 06:38 66K 
[   ]py3-iso639-lang-2.2.3-r0.apk2024-04-30 03:07 269K 
[   ]py3-iso639-lang-pyc-2.2.3-r0.apk2024-04-30 03:07 9.4K 
[   ]py3-itemadapter-0.10.0-r0.apk2024-11-30 22:40 11K 
[   ]py3-itemadapter-pyc-0.10.0-r0.apk2024-11-30 22:40 12K 
[   ]py3-itemloaders-1.3.2-r0.apk2024-10-01 23:12 12K 
[   ]py3-itemloaders-pyc-1.3.2-r0.apk2024-10-01 23:12 16K 
[   ]py3-iterable-io-1.0.0-r0.apk2024-06-27 07:33 5.8K 
[   ]py3-iterable-io-pyc-1.0.0-r0.apk2024-06-27 07:33 5.0K 
[   ]py3-itunespy-1.6-r4.apk2024-08-07 03:50 9.8K 
[   ]py3-itunespy-pyc-1.6-r4.apk2024-08-07 03:50 15K 
[   ]py3-janus-1.2.0-r0.apk2024-12-13 05:58 12K 
[   ]py3-janus-pyc-1.2.0-r0.apk2024-12-13 05:58 13K 
[   ]py3-jaraco.logging-3.3.0-r0.apk2024-06-02 19:41 5.8K 
[   ]py3-jaraco.logging-pyc-3.3.0-r0.apk2024-06-02 19:41 5.6K 
[   ]py3-jaraco.path-3.7.2-r0.apk2024-10-17 15:56 7.4K 
[   ]py3-jaraco.path-pyc-3.7.2-r0.apk2024-10-17 15:56 9.3K 
[   ]py3-jaraco.stream-3.0.4-r0.apk2024-12-15 03:08 6.5K 
[   ]py3-jaraco.stream-pyc-3.0.4-r0.apk2024-12-15 03:08 7.8K 
[   ]py3-jaraco.vcs-2.4.0-r0.apk2024-10-20 01:08 9.5K 
[   ]py3-jaraco.vcs-pyc-2.4.0-r0.apk2024-10-20 01:08 15K 
[   ]py3-jaraco.versioning-1.1.0-r0.apk2024-05-13 00:34 5.6K 
[   ]py3-jaraco.versioning-pyc-1.1.0-r0.apk2024-05-13 00:34 5.8K 
[   ]py3-json5-0.9.25-r0.apk2024-11-30 22:40 25K 
[   ]py3-json5-pyc-0.9.25-r0.apk2024-11-30 22:40 29K 
[   ]py3-jsonschema417-4.17.3-r1.apk2024-04-30 03:07 73K 
[   ]py3-jsonschema417-pyc-4.17.3-r1.apk2024-04-30 03:07 125K 
[   ]py3-junit-xml-1.9-r3.apk2024-08-07 03:50 8.1K 
[   ]py3-junit-xml-pyc-1.9-r3.apk2024-08-07 03:50 9.1K 
[   ]py3-kazoo-0_git20211202-r4.apk2024-08-07 03:50 125K 
[   ]py3-kazoo-pyc-0_git20211202-r4.apk2024-08-07 03:50 244K 
[   ]py3-keepalive-0.5-r5.apk2024-04-30 03:07 8.7K 
[   ]py3-keepalive-doc-0.5-r5.apk2024-04-30 03:07 1.7K 
[   ]py3-keepalive-pyc-0.5-r5.apk2024-04-30 03:07 13K 
[   ]py3-kerberos-1.3.1-r5.apk2024-08-07 03:50 17K 
[   ]py3-landlock-1.0.0_pre4-r2.apk2024-04-30 03:07 8.1K 
[   ]py3-landlock-pyc-1.0.0_pre4-r2.apk2024-04-30 03:07 9.2K 
[   ]py3-langcodes-3.3.0-r2.apk2024-04-30 03:07 173K 
[   ]py3-langcodes-pyc-3.3.0-r2.apk2024-04-30 03:07 109K 
[   ]py3-language-data-1.3.0-r0.apk2024-12-01 21:08 5.0M 
[   ]py3-language-data-pyc-1.3.0-r0.apk2024-12-01 21:08 3.0M 
[   ]py3-latex2mathml-3.77.0-r1.apk2024-04-30 03:07 72K 
[   ]py3-latex2mathml-pyc-3.77.0-r1.apk2024-04-30 03:07 35K 
[   ]py3-levenshtein-0.26.1-r0.apk2024-12-19 09:30 149K 
[   ]py3-levenshtein-pyc-0.26.1-r0.apk2024-12-19 09:30 9.1K 
[   ]py3-lib_users-0.15-r4.apk2024-08-07 17:51 15K 
[   ]py3-lib_users-pyc-0.15-r4.apk2024-08-07 17:51 9.3K 
[   ]py3-libacl-0.7.0-r2.apk2024-08-31 17:07 25K 
[   ]py3-libiio-0.25-r2.apk2024-08-07 03:50 12K 
[   ]py3-liblarch-3.2.0-r6.apk2024-12-09 01:30 29K 
[   ]py3-liblarch-pyc-3.2.0-r6.apk2024-12-09 01:30 49K 
[   ]py3-libmdbx-0.10.2-r7.apk2024-08-07 17:51 28K 
[   ]py3-libmdbx-pyc-0.10.2-r7.apk2024-08-07 17:51 33K 
[   ]py3-libnacl-2.1.0-r1.apk2024-04-30 03:07 20K 
[   ]py3-libnacl-pyc-2.1.0-r1.apk2024-04-30 03:07 30K 
[   ]py3-librtmp-0.3.0-r6.apk2024-04-30 03:07 36K 
[   ]py3-librtmp-pyc-0.3.0-r6.apk2024-04-30 03:07 24K 
[   ]py3-limits-3.14.1-r0.apk2024-12-26 05:50 33K 
[   ]py3-limits-pyc-3.14.1-r0.apk2024-12-26 05:50 71K 
[   ]py3-linkify-it-py-2.0.3-r1.apk2024-04-30 03:07 21K 
[   ]py3-linkify-it-py-pyc-2.0.3-r1.apk2024-04-30 03:07 23K 
[   ]py3-linux-procfs-0.7.3-r0.apk2025-01-13 22:20 13K 
[   ]py3-linux-procfs-pyc-0.7.3-r0.apk2025-01-13 22:20 22K 
[   ]py3-litex-hub-modules-2024.04-r0.apk2024-07-10 05:13 1.4K 
[   ]py3-litex-hub-modules-pyc-2024.04-r0.apk2024-07-10 05:13 1.1M 
[   ]py3-litex-hub-pythondata-cpu-blackparrot-2024.04-r0.apk2024-07-10 05:13 5.6M 
[   ]py3-litex-hub-pythondata-cpu-cv32e40p-2024.04-r0.apk2024-07-10 05:13 1.9M 
[   ]py3-litex-hub-pythondata-cpu-cv32e41p-2024.04-r0.apk2024-07-10 05:13 934K 
[   ]py3-litex-hub-pythondata-cpu-cva5-2024.04-r0.apk2024-07-10 05:13 500K 
[   ]py3-litex-hub-pythondata-cpu-cva6-2024.04-r0.apk2024-07-10 05:13 10M 
[   ]py3-litex-hub-pythondata-cpu-ibex-2024.04-r0.apk2024-07-10 05:13 1.8M 
[   ]py3-litex-hub-pythondata-cpu-lm32-2024.04-r0.apk2024-07-10 05:13 112K 
[   ]py3-litex-hub-pythondata-cpu-marocchino-2024.04-r0.apk2024-07-10 05:13 208K 
[   ]py3-litex-hub-pythondata-cpu-microwatt-2024.04-r0.apk2024-07-10 05:13 19M 
[   ]py3-litex-hub-pythondata-cpu-minerva-2024.04-r0.apk2024-07-10 05:13 45K 
[   ]py3-litex-hub-pythondata-cpu-mor1kx-2024.04-r0.apk2024-07-10 05:13 221K 
[   ]py3-litex-hub-pythondata-cpu-naxriscv-2024.04-r0.apk2024-07-10 05:13 7.3K 
[   ]py3-litex-hub-pythondata-cpu-picorv32-2024.04-r0.apk2024-07-10 05:13 230K 
[   ]py3-litex-hub-pythondata-cpu-rocket-2024.04-r0.apk2024-07-10 05:13 57M 
[   ]py3-litex-hub-pythondata-cpu-serv-2024.04-r0.apk2024-07-10 05:13 675K 
[   ]py3-litex-hub-pythondata-cpu-vexriscv-2024.04-r0.apk2024-07-10 05:13 712K 
[   ]py3-litex-hub-pythondata-cpu-vexriscv_smp-2024.04-r0.apk2024-07-10 05:13 2.4M 
[   ]py3-litex-hub-pythondata-misc-tapcfg-2024.04-r0.apk2024-07-10 05:13 58K 
[   ]py3-litex-hub-pythondata-software-compiler_rt-2024.04-r0.apk2024-07-10 05:13 2.2M 
[   ]py3-litex-hub-pythondata-software-picolibc-2024.04-r0.apk2024-07-10 05:13 4.7M 
[   ]py3-litex-hub-valentyusb-2024.04-r0.apk2024-07-10 05:13 111K 
[   ]py3-livestream-2.1.0-r0.apk2024-11-25 23:35 766K 
[   ]py3-livestream-pyc-2.1.0-r0.apk2024-11-25 23:35 30K 
[   ]py3-log-symbols-0.0.14-r5.apk2024-08-07 03:50 3.9K 
[   ]py3-log-symbols-pyc-0.0.14-r5.apk2024-08-07 03:50 2.8K 
[   ]py3-logfury-1.0.1-r0.apk2024-10-17 15:57 7.6K 
[   ]py3-logfury-doc-1.0.1-r0.apk2024-10-17 15:57 2.1K 
[   ]py3-logfury-pyc-1.0.1-r0.apk2024-10-17 15:57 6.8K 
[   ]py3-logtop-0.7-r0.apk2024-06-28 07:48 21K 
[   ]py3-logtop-pyc-0.7-r0.apk2024-06-28 07:48 3.8K 
[   ]py3-lsp-black-2.0.0-r1.apk2024-04-30 03:07 7.2K 
[   ]py3-lsp-black-pyc-2.0.0-r1.apk2024-04-30 03:07 6.1K 
[   ]py3-lsp-mypy-0.6.8-r1.apk2024-04-30 03:07 11K 
[   ]py3-lsp-mypy-pyc-0.6.8-r1.apk2024-04-30 03:07 11K 
[   ]py3-lsprotocol-2023.0.1-r1.apk2024-04-30 03:07 69K 
[   ]py3-lsprotocol-pyc-2023.0.1-r1.apk2024-04-30 03:07 107K 
[   ]py3-luhn-0.2.0-r9.apk2024-08-07 03:50 3.7K 
[   ]py3-luhn-pyc-0.2.0-r9.apk2024-08-07 03:50 2.4K 
[   ]py3-lunr-0.6.2-r4.apk2024-08-07 03:50 32K 
[   ]py3-lunr-pyc-0.6.2-r4.apk2024-08-07 03:50 50K 
[   ]py3-ly-0.9.8-r1.apk2024-04-30 03:07 187K 
[   ]py3-ly-doc-0.9.8-r1.apk2024-04-30 03:07 7.8K 
[   ]py3-ly-pyc-0.9.8-r1.apk2024-04-30 03:07 355K 
[   ]py3-lzo-1.16-r1.apk2024-04-30 03:07 16K 
[   ]py3-lzo-pyc-1.16-r1.apk2024-04-30 03:07 1.7K 
[   ]py3-m2crypto-0.41.0-r2.apk2024-08-10 00:31 199K 
[   ]py3-m2crypto-pyc-0.41.0-r2.apk2024-08-10 00:31 122K 
[   ]py3-mando-0.7.1-r3.apk2024-08-30 23:44 22K 
[   ]py3-mando-doc-0.7.1-r3.apk2024-08-30 23:44 3.9K 
[   ]py3-mando-pyc-0.7.1-r3.apk2024-08-30 23:44 36K 
[   ]py3-manuel-1.13.0-r0.apk2024-11-30 22:40 39K 
[   ]py3-manuel-pyc-1.13.0-r0.apk2024-11-30 22:40 25K 
[   ]py3-mapbox-earcut-1.0.1-r2.apk2024-08-07 03:50 60K 
[   ]py3-marisa-trie-1.2.1-r0.apk2024-11-11 14:26 137K 
[   ]py3-markdown2-2.5.0-r0.apk2024-08-29 12:07 47K 
[   ]py3-markdown2-pyc-2.5.0-r0.apk2024-08-29 12:07 75K 
[   ]py3-marshmallow-3.26.0-r0.apk2025-01-29 07:49 47K 
[   ]py3-marshmallow-enum-1.5.1-r7.apk2024-04-30 03:07 5.0K 
[   ]py3-marshmallow-enum-pyc-1.5.1-r7.apk2024-04-30 03:07 4.2K 
[   ]py3-marshmallow-pyc-3.26.0-r0.apk2025-01-29 07:49 85K 
[   ]py3-mbedtls-2.10.1-r2.apk2024-07-16 17:25 893K 
[   ]py3-mbedtls-pyc-2.10.1-r2.apk2024-07-16 17:25 27K 
[   ]py3-migen-0.9.2-r2.apk2024-04-30 03:07 142K 
[   ]py3-migen-pyc-0.9.2-r2.apk2024-04-30 03:07 295K 
[   ]py3-milc-1.9.1-r0.apk2025-01-25 17:05 26K 
[   ]py3-milc-pyc-1.9.1-r0.apk2025-01-25 17:05 41K 
[   ]py3-minidb-2.0.8-r0.apk2024-11-13 20:03 9.8K 
[   ]py3-minidb-pyc-2.0.8-r0.apk2024-11-13 20:03 23K 
[   ]py3-minidump-0.0.24-r0.apk2024-09-06 20:04 65K 
[   ]py3-minidump-pyc-0.0.24-r0.apk2024-09-06 20:04 130K 
[   ]py3-minikerberos-0.4.4-r1.apk2024-04-30 03:07 128K 
[   ]py3-minikerberos-pyc-0.4.4-r1.apk2024-04-30 03:07 263K 
[   ]py3-minio-7.2.13-r0.apk2024-12-26 05:50 76K 
[   ]py3-minio-pyc-7.2.13-r0.apk2024-12-26 05:50 160K 
[   ]py3-mistletoe-1.2.1-r2.apk2024-08-07 03:50 44K 
[   ]py3-mistletoe-pyc-1.2.1-r2.apk2024-08-07 03:50 91K 
[   ]py3-mnemonic-0.21-r0.apk2024-10-06 14:00 95K 
[   ]py3-mnemonic-doc-0.21-r0.apk2024-10-06 14:00 2.1K 
[   ]py3-mnemonic-pyc-0.21-r0.apk2024-10-06 14:00 9.4K 
[   ]py3-modbus-tk-1.1.1-r4.apk2024-08-07 03:50 25K 
[   ]py3-modbus-tk-pyc-1.1.1-r4.apk2024-08-07 03:50 48K 
[   ]py3-mopidy-jellyfin-1.0.4-r4.apk2024-08-07 03:50 24K 
[   ]py3-mopidy-jellyfin-pyc-1.0.4-r4.apk2024-08-07 03:50 36K 
[   ]py3-mopidy-local-3.3.0-r0.apk2025-01-03 18:12 28K 
[   ]py3-mopidy-local-pyc-3.3.0-r0.apk2025-01-03 18:12 34K 
[   ]py3-mopidy-mpd-3.3.0-r4.apk2024-04-30 03:07 46K 
[   ]py3-mopidy-mpd-pyc-3.3.0-r4.apk2024-04-30 03:07 74K 
[   ]py3-mopidy-tidal-0.3.2-r6.apk2024-08-07 03:50 24K 
[   ]py3-mopidy-tidal-pyc-0.3.2-r6.apk2024-08-07 03:50 35K 
[   ]py3-more-properties-1.1.1-r3.apk2024-04-30 03:07 7.2K 
[   ]py3-more-properties-pyc-1.1.1-r3.apk2024-04-30 03:07 7.9K 
[   ]py3-moviepy-1.0.3-r6.apk2024-08-07 03:50 94K 
[   ]py3-moviepy-pyc-1.0.3-r6.apk2024-08-07 03:50 155K 
[   ]py3-msldap-0.5.12-r0.apk2024-09-06 20:44 139K 
[   ]py3-msldap-pyc-0.5.12-r0.apk2024-09-06 20:44 314K 
[   ]py3-mss-10.0.0-r0.apk2024-11-14 13:57 50K 
[   ]py3-natpmp-1.3.2-r1.apk2024-04-30 03:07 9.1K 
[   ]py3-natpmp-pyc-1.3.2-r1.apk2024-04-30 03:07 9.6K 
[   ]py3-ncclient-0.6.13-r5.apk2024-09-02 22:36 68K 
[   ]py3-ncclient-pyc-0.6.13-r5.apk2024-09-02 22:36 106K 
[   ]py3-netifaces2-0.0.22-r0.apk2024-04-30 03:07 187K 
[   ]py3-netifaces2-pyc-0.0.22-r0.apk2024-04-30 03:07 8.9K 
[   ]py3-netmiko-4.4.0-r0.apk2024-07-10 19:46 176K 
[   ]py3-netmiko-pyc-4.4.0-r0.apk2024-07-10 19:46 333K 
[   ]py3-nikola-8.3.1-r0.apk2024-06-25 06:06 1.2M 
[   ]py3-nikola-doc-8.3.1-r0.apk2024-06-25 06:06 60K 
[   ]py3-nikola-pyc-8.3.1-r0.apk2024-06-25 06:06 530K 
[   ]py3-nmap-0.7.1-r4.apk2024-08-07 17:51 20K 
[   ]py3-nmap-pyc-0.7.1-r4.apk2024-08-07 17:51 25K 
[   ]py3-nose-timer-1.0.1-r6.apk2024-08-07 17:51 9.1K 
[   ]py3-nose-timer-pyc-1.0.1-r6.apk2024-08-07 17:51 9.7K 
[   ]py3-notifymail-1.1-r8.apk2024-08-07 03:50 7.3K 
[   ]py3-notifymail-pyc-1.1-r8.apk2024-08-07 03:50 5.5K 
[   ]py3-nptyping-2.5.0-r3.apk2024-09-02 22:36 21K 
[   ]py3-nptyping-pyc-2.5.0-r3.apk2024-09-02 22:36 32K 
[   ]py3-ntplib-0.4.0-r5.apk2024-08-30 23:44 7.1K 
[   ]py3-ntplib-pyc-0.4.0-r5.apk2024-08-30 23:44 8.4K 
[   ]py3-numpy-stl-3.2.0-r0.apk2024-12-01 03:11 21K 
[   ]py3-numpy-stl-pyc-3.2.0-r0.apk2024-12-01 03:11 28K 
[   ]py3-nwdiag-3.0.0-r3.apk2024-08-07 03:50 4.9M 
[   ]py3-nwdiag-pyc-3.0.0-r3.apk2024-08-07 03:50 78K 
[   ]py3-okonomiyaki-2.0.0-r0.apk2024-10-15 02:04 7.9M 
[   ]py3-okonomiyaki-pyc-2.0.0-r0.apk2024-10-15 02:04 243K 
[   ]py3-openapi-codec-1.3.2-r9.apk2024-04-30 03:07 7.4K 
[   ]py3-openapi-codec-pyc-1.3.2-r9.apk2024-04-30 03:07 11K 
[   ]py3-opendht-3.1.11-r0.apk2025-01-27 22:08 152K 
[   ]py3-openssh-wrapper-0.5_git20130425-r4.apk2024-04-30 03:07 8.0K 
[   ]py3-openssh-wrapper-pyc-0.5_git20130425-r4.apk2024-04-30 03:07 10K 
[   ]py3-openwisp-utils-1.0.4-r4.apk2024-08-07 17:51 497K 
[   ]py3-openwisp-utils-pyc-1.0.4-r4.apk2024-08-07 17:51 42K 
[   ]py3-orderedmultidict-1.0.1-r7.apk2024-08-07 03:50 12K 
[   ]py3-orderedmultidict-pyc-1.0.1-r7.apk2024-08-07 03:50 17K 
[   ]py3-osqp-0.6.2-r6.apk2024-08-07 03:50 100K 
[   ]py3-osqp-dev-0.6.2-r6.apk2024-08-07 03:50 49K 
[   ]py3-osqp-pyc-0.6.2-r6.apk2024-08-07 03:50 76K 
[   ]py3-ovos-audio-plugin-simple-0.0.1-r0.apk2024-05-31 21:58 9.7K 
[   ]py3-ovos-audio-plugin-simple-pyc-0.0.1-r0.apk2024-05-31 21:58 8.0K 
[   ]py3-ovos-backend-client-1.0.0-r0.apk2024-09-12 23:31 46K 
[   ]py3-ovos-backend-client-pyc-1.0.0-r0.apk2024-09-12 23:31 91K 
[   ]py3-ovos-bus-client-1.0.4-r0.apk2024-11-21 14:43 45K 
[   ]py3-ovos-bus-client-pyc-1.0.4-r0.apk2024-11-21 14:43 81K 
[   ]py3-ovos-classifiers-0.0.0_alpha53-r0.apk2024-05-31 21:58 103K 
[   ]py3-ovos-classifiers-pyc-0.0.0_alpha53-r0.apk2024-05-31 21:58 163K 
[   ]py3-ovos-config-1.0.0-r0.apk2024-11-25 13:43 43K 
[   ]py3-ovos-config-pyc-1.0.0-r0.apk2024-11-25 13:43 34K 
[   ]py3-ovos-lingua-franca-0.4.8_alpha3-r2.apk2024-05-31 21:58 358K 
[   ]py3-ovos-lingua-franca-pyc-0.4.8_alpha3-r2.apk2024-05-31 21:58 436K 
[   ]py3-ovos-microphone-plugin-alsa-0.1.0-r0.apk2024-09-12 23:31 8.7K 
[   ]py3-ovos-microphone-plugin-alsa-pyc-0.1.0-r0.apk2024-09-12 23:31 4.5K 
[   ]py3-ovos-ocp-audio-plugin-0.0.6_alpha2-r3.apk2024-05-31 21:58 550K 
[   ]py3-ovos-ocp-audio-plugin-pyc-0.0.6_alpha2-r3.apk2024-05-31 21:58 86K 
[   ]py3-ovos-ocp-files-plugin-0.13.1-r0.apk2024-09-12 23:31 47K 
[   ]py3-ovos-ocp-files-plugin-pyc-0.13.1-r0.apk2024-09-12 23:31 103K 
[   ]py3-ovos-ocp-m3u-plugin-0.0.2-r0.apk2024-09-12 23:31 7.8K 
[   ]py3-ovos-ocp-m3u-plugin-pyc-0.0.2-r0.apk2024-09-12 23:31 3.1K 
[   ]py3-ovos-ocp-news-plugin-0.0.4-r0.apk2024-09-12 23:31 11K 
[   ]py3-ovos-ocp-news-plugin-pyc-0.0.4-r0.apk2024-09-12 23:31 8.7K 
[   ]py3-ovos-ocp-rss-plugin-0.0.3-r0.apk2024-09-12 23:31 8.0K 
[   ]py3-ovos-ocp-rss-plugin-pyc-0.0.3-r0.apk2024-09-12 23:31 3.5K 
[   ]py3-ovos-phal-plugin-connectivity-events-0.1.1-r0.apk2024-11-21 14:43 8.4K 
[   ]py3-ovos-phal-plugin-connectivity-events-pyc-0.1.1-r0.apk2024-11-21 14:43 4.8K 
[   ]py3-ovos-phal-plugin-ipgeo-0.0.2-r1.apk2024-04-30 03:07 4.2K 
[   ]py3-ovos-phal-plugin-ipgeo-pyc-0.0.2-r1.apk2024-04-30 03:07 4.1K 
[   ]py3-ovos-phal-plugin-network-manager-1.3.2-r0.apk2024-11-21 14:43 95K 
[   ]py3-ovos-phal-plugin-network-manager-pyc-1.3.2-r0.apk2024-11-21 14:43 11K 
[   ]py3-ovos-phal-plugin-oauth-0.1.3-r0.apk2024-11-21 14:43 12K 
[   ]py3-ovos-phal-plugin-oauth-pyc-0.1.3-r0.apk2024-11-21 14:43 9.4K 
[   ]py3-ovos-phal-plugin-system-1.0.1-r0.apk2024-11-21 14:43 14K 
[   ]py3-ovos-phal-plugin-system-pyc-1.0.1-r0.apk2024-11-21 14:43 9.3K 
[   ]py3-ovos-plugin-manager-0.6.0-r0.apk2024-11-21 14:43 92K 
[   ]py3-ovos-plugin-manager-pyc-0.6.0-r0.apk2024-11-21 14:43 177K 
[   ]py3-ovos-stt-plugin-server-0.0.4_alpha4-r1.apk2024-04-30 03:07 9.8K 
[   ]py3-ovos-stt-plugin-server-pyc-0.0.4_alpha4-r1.apk2024-04-30 03:07 6.5K 
[   ]py3-ovos-translate-server-plugin-0.0.0-r0.apk2024-05-31 21:58 8.1K 
[   ]py3-ovos-translate-server-plugin-pyc-0.0.0-r0.apk2024-05-31 21:58 3.8K 
[   ]py3-ovos-tts-server-plugin-0.0.2_alpha13-r1.apk2024-05-31 21:58 9.3K 
[   ]py3-ovos-tts-server-plugin-pyc-0.0.2_alpha13-r1.apk2024-05-31 21:58 5.2K 
[   ]py3-ovos-utils-0.5.4-r0.apk2024-11-25 13:43 69K 
[   ]py3-ovos-utils-pyc-0.5.4-r0.apk2024-11-25 13:43 124K 
[   ]py3-ovos-workshop-3.1.1-r0.apk2024-11-25 13:43 87K 
[   ]py3-ovos-workshop-pyc-3.1.1-r0.apk2024-11-25 13:43 155K 
[   ]py3-owslib-0.32.1-r0.apk2025-01-24 20:50 193K 
[   ]py3-owslib-pyc-0.32.1-r0.apk2025-01-24 20:50 423K 
[   ]py3-pacparser-1.4.5-r1.apk2024-09-14 20:10 409K 
[   ]py3-pacparser-pyc-1.4.5-r1.apk2024-09-14 20:10 3.8K 
[   ]py3-padacioso-0.2.1-r0.apk2024-05-31 21:58 11K 
[   ]py3-padacioso-pyc-0.2.1-r0.apk2024-05-31 21:58 12K 
[   ]py3-pam-2.0.2-r2.apk2024-04-30 03:07 11K 
[   ]py3-pam-pyc-2.0.2-r2.apk2024-04-30 03:07 13K 
[   ]py3-pathvalidate-3.2.3-r0.apk2025-01-06 11:56 19K 
[   ]py3-pathvalidate-pyc-3.2.3-r0.apk2025-01-06 11:56 33K 
[   ]py3-pbkdf2-1.3-r7.apk2024-08-07 03:50 6.0K 
[   ]py3-pbkdf2-pyc-1.3-r7.apk2024-08-07 03:50 6.8K 
[   ]py3-pbs-installer-2024.12.19-r0.apk2025-01-01 03:42 50K 
[   ]py3-pbs-installer-pyc-2024.12.19-r0.apk2025-01-01 03:42 57K 
[   ]py3-pdal-3.4.5-r0.apk2024-11-05 23:36 163K 
[   ]py3-pdal-pyc-3.4.5-r0.apk2024-11-05 23:36 13K 
[   ]py3-pelican-4.9.1-r2.apk2024-04-30 03:07 233K 
[   ]py3-pelican-pyc-4.9.1-r2.apk2024-04-30 03:07 147K 
[   ]py3-pep8-naming-0.14.1-r0.apk2024-09-19 23:34 9.5K 
[   ]py3-pep8-naming-pyc-0.14.1-r0.apk2024-09-19 23:34 13K 
[   ]py3-phpserialize-1.3-r8.apk2024-08-07 17:51 8.6K 
[   ]py3-phpserialize-pyc-1.3-r8.apk2024-08-07 17:51 10K 
[   ]py3-phx-class-registry-5.0.0-r0.apk2024-10-18 09:36 13K 
[   ]py3-phx-class-registry-doc-5.0.0-r0.apk2024-10-18 09:36 2.0K 
[   ]py3-phx-class-registry-pyc-5.0.0-r0.apk2024-10-18 09:36 17K 
[   ]py3-piccata-2.0.3-r1.apk2024-04-30 03:07 20K 
[   ]py3-piccata-pyc-2.0.3-r1.apk2024-04-30 03:07 34K 
[   ]py3-pickle-secure-0.99.9-r1.apk2024-04-30 03:07 7.2K 
[   ]py3-pickle-secure-pyc-0.99.9-r1.apk2024-04-30 03:07 5.1K 
[   ]py3-pika-1.3.2-r1.apk2024-04-30 03:07 143K 
[   ]py3-pika-pyc-1.3.2-r1.apk2024-04-30 03:07 246K 
[   ]py3-pillow_heif-0.18.0-r0.apk2024-09-27 08:09 43K 
[   ]py3-pillow_heif-pyc-0.18.0-r0.apk2024-09-27 08:09 35K 
[   ]py3-pip-system-certs-4.0-r1.apk2024-04-30 03:07 6.7K 
[   ]py3-pip-system-certs-pyc-4.0-r1.apk2024-04-30 03:07 4.4K 
[   ]py3-playsound-1.3.0-r1.apk2024-04-30 03:07 6.6K 
[   ]py3-playsound-pyc-1.3.0-r1.apk2024-04-30 03:07 8.3K 
[   ]py3-plexapi-4.16.1-r0.apk2025-01-12 20:56 153K 
[   ]py3-plexapi-doc-4.16.1-r0.apk2025-01-12 20:56 84K 
[   ]py3-plexapi-pyc-4.16.1-r0.apk2025-01-12 20:56 306K 
[   ]py3-pltable-1.1.0-r1.apk2024-11-13 08:36 18K 
[   ]py3-pltable-pyc-1.1.0-r1.apk2024-11-13 08:36 33K 
[   ]py3-pockethernet-0.7.0-r4.apk2024-08-07 17:51 15K 
[   ]py3-pockethernet-pyc-0.7.0-r4.apk2024-08-07 17:51 25K 
[   ]py3-poetry-dynamic-versioning-1.7.1-r0.apk2025-01-29 07:54 19K 
[   ]py3-poetry-dynamic-versioning-pyc-1.7.1-r0.apk2025-01-29 07:54 25K 
[   ]py3-poppler-qt5-21.3.0-r2.apk2025-01-30 02:09 130K 
[   ]py3-ppk2-api-0.9.2-r0.apk2024-11-30 22:40 16K 
[   ]py3-ppk2-api-pyc-0.9.2-r0.apk2024-11-30 22:40 16K 
[   ]py3-pprintpp-0.4.0-r1.apk2024-04-30 03:07 14K 
[   ]py3-pprintpp-pyc-0.4.0-r1.apk2024-04-30 03:07 16K 
[   ]py3-print-color-0.4.6-r0.apk2024-09-09 14:41 8.6K 
[   ]py3-print-color-doc-0.4.6-r0.apk2024-09-09 14:41 2.4K 
[   ]py3-print-color-pyc-0.4.6-r0.apk2024-09-09 14:41 4.7K 
[   ]py3-proglog-0.1.10-r2.apk2024-04-30 03:07 7.1K 
[   ]py3-proglog-pyc-0.1.10-r2.apk2024-04-30 03:07 9.6K 
[   ]py3-protego-0.3.1-r0.apk2024-11-30 22:40 9.1K 
[   ]py3-protego-pyc-0.3.1-r0.apk2024-11-30 22:40 11K 
[   ]py3-proxmoxer-2.2.0-r0.apk2024-12-16 12:36 17K 
[   ]py3-proxmoxer-pyc-2.2.0-r0.apk2024-12-16 12:36 27K 
[   ]py3-pure_protobuf-3.1.2-r0.apk2024-09-27 10:22 21K 
[   ]py3-pure_protobuf-pyc-3.1.2-r0.apk2024-09-27 10:22 37K 
[   ]py3-py-radix-0.10.0-r10.apk2024-09-14 07:17 19K 
[   ]py3-py-radix-pyc-0.10.0-r10.apk2024-09-14 07:17 10K 
[   ]py3-pyatem-0.5.0-r4.apk2024-09-30 14:42 52K 
[   ]py3-pyatem-pyc-0.5.0-r4.apk2024-09-30 14:42 91K 
[   ]py3-pyautogui-0.9.53-r5.apk2024-09-02 22:37 35K 
[   ]py3-pyautogui-pyc-0.9.53-r5.apk2024-09-02 22:37 45K 
[   ]py3-pybars3-0.9.7-r6.apk2024-08-07 03:50 15K 
[   ]py3-pybars3-pyc-0.9.7-r6.apk2024-08-07 03:50 17K 
[   ]py3-pycaption-2.2.15-r0.apk2024-10-03 07:33 360K 
[   ]py3-pycolorterm-0.2.1-r6.apk2024-08-07 03:50 5.2K 
[   ]py3-pycolorterm-pyc-0.2.1-r6.apk2024-08-07 03:50 3.5K 
[   ]py3-pycosat-0.6.6-r2.apk2024-08-07 17:51 46K 
[   ]py3-pydes-2.0.1-r5.apk2024-08-07 03:50 10K 
[   ]py3-pydes-doc-2.0.1-r5.apk2024-08-07 03:50 3.4K 
[   ]py3-pydes-pyc-2.0.1-r5.apk2024-08-07 03:50 13K 
[   ]py3-pygelbooru-0.5.0-r4.apk2024-09-02 22:36 7.9K 
[   ]py3-pygelbooru-pyc-0.5.0-r4.apk2024-09-02 22:36 11K 
[   ]py3-pygfm-2.0.0-r2.apk2024-08-07 17:51 12K 
[   ]py3-pygfm-pyc-2.0.0-r2.apk2024-08-07 17:51 13K 
[   ]py3-pyglm-2.7.3-r0.apk2024-11-06 11:08 1.4M 
[   ]py3-pygpgme-0.3.1-r9.apk2024-08-07 03:50 37K 
[   ]py3-pygpgme-pyc-0.3.1-r9.apk2024-08-07 03:50 4.8K 
[   ]py3-pygtail-0.14.0-r3.apk2024-04-30 03:07 15K 
[   ]py3-pygtail-pyc-0.14.0-r3.apk2024-04-30 03:07 9.8K 
[   ]py3-pyinstaller-6.6.0-r0.apk2024-05-13 19:30 1.9M 
[   ]py3-pyinstaller-pyc-6.6.0-r0.apk2024-05-13 19:30 502K 
[   ]py3-pyinstrument-5.0.1-r0.apk2025-01-24 09:30 107K 
[   ]py3-pyinstrument-pyc-5.0.1-r0.apk2025-01-24 09:30 99K 
[   ]py3-pyisbn-1.3.1-r3.apk2024-08-07 03:50 20K 
[   ]py3-pyisbn-pyc-1.3.1-r3.apk2024-08-07 03:50 9.1K 
[   ]py3-pylru-1.2.1-r1.apk2024-04-30 03:07 16K 
[   ]py3-pylru-pyc-1.2.1-r1.apk2024-04-30 03:07 8.7K 
[   ]py3-pymaging-0.0.20130908-r10.apk2024-08-30 23:44 18K 
[   ]py3-pymaging-png-0.0.20130727-r10.apk2024-08-07 03:50 35K 
[   ]py3-pymaging-png-pyc-0.0.20130727-r10.apk2024-08-07 03:50 52K 
[   ]py3-pymaging-pyc-0.0.20130908-r10.apk2024-08-30 23:44 31K 
[   ]py3-pymata-2.20-r4.apk2024-08-08 20:19 22K 
[   ]py3-pymata-pyc-2.20-r4.apk2024-08-08 20:19 29K 
[   ]py3-pymata4-1.15-r4.apk2024-08-30 23:44 23K 
[   ]py3-pymata4-pyc-1.15-r4.apk2024-08-30 23:44 31K 
[   ]py3-pymeta3-0.5.1-r6.apk2024-08-08 20:19 16K 
[   ]py3-pymeta3-pyc-0.5.1-r6.apk2024-08-08 20:19 31K 
[   ]py3-pymsgbox-1.0.9-r5.apk2024-08-07 17:51 8.9K 
[   ]py3-pymsgbox-pyc-1.0.9-r5.apk2024-08-07 17:51 9.6K 
[   ]py3-pymsteams-0.2.3-r1.apk2024-08-07 17:51 12K 
[   ]py3-pymsteams-pyc-0.2.3-r1.apk2024-08-07 17:51 6.3K 
[   ]py3-pynest2d-5.2.2-r5.apk2025-02-06 06:25 233K 
[   ]py3-pyparted-3.13.0-r1.apk2024-04-30 03:07 78K 
[   ]py3-pyparted-pyc-3.13.0-r1.apk2024-04-30 03:07 42K 
[   ]py3-pypubsub-4.0.3-r0.apk2024-05-07 10:33 52K 
[   ]py3-pypubsub-doc-4.0.3-r0.apk2024-05-07 10:33 1.9K 
[   ]py3-pypubsub-pyc-4.0.3-r0.apk2024-05-07 10:33 90K 
[   ]py3-pyqrcode-1.2.1-r0.apk2024-05-09 01:09 36K 
[   ]py3-pyqrcode-doc-1.2.1-r0.apk2024-05-09 01:09 4.0K 
[   ]py3-pyqrcode-pyc-1.2.1-r0.apk2024-05-09 01:09 47K 
[   ]py3-pyrebase-3.0.27-r5.apk2024-08-07 03:50 9.4K 
[   ]py3-pyrebase-pyc-3.0.27-r5.apk2024-08-07 03:50 17K 
[   ]py3-pyroma-4.2-r0.apk2024-04-30 03:07 22K 
[   ]py3-pyroma-pyc-4.2-r0.apk2024-04-30 03:07 26K 
[   ]py3-pyscreeze-0.1.29-r3.apk2024-09-02 22:37 14K 
[   ]py3-pyscreeze-pyc-0.1.29-r3.apk2024-09-02 22:37 14K 
[   ]py3-pysequoia-0.1.20-r3.apk2024-08-31 01:20 1.5M 
[   ]py3-pysequoia-pyc-0.1.20-r3.apk2024-08-31 01:20 1.6K 
[   ]py3-pysimplesoap-1.16.2-r7.apk2024-08-07 03:50 43K 
[   ]py3-pysimplesoap-pyc-1.16.2-r7.apk2024-08-07 03:50 78K 
[   ]py3-pysonic-1.0.1-r1.apk2024-04-30 03:07 34K 
[   ]py3-pysonic-pyc-1.0.1-r1.apk2024-04-30 03:07 32K 
[   ]py3-pyspinel-1.0.3-r1.apk2024-04-30 03:07 56K 
[   ]py3-pyspinel-pyc-1.0.3-r1.apk2024-04-30 03:07 63K 
[   ]py3-pysrt-1.1.2-r4.apk2024-08-08 20:13 26K 
[   ]py3-pysrt-pyc-1.1.2-r4.apk2024-08-08 20:13 23K 
[   ]py3-pystache-0.6.5-r1.apk2024-04-30 03:07 68K 
[   ]py3-pystache-pyc-0.6.5-r1.apk2024-04-30 03:07 96K 
[   ]py3-pysubs2-1.8.0-r0.apk2024-12-26 05:50 36K 
[   ]py3-pysubs2-pyc-1.8.0-r0.apk2024-12-26 05:50 67K 
[   ]py3-pytaglib-3.0.0-r0.apk2025-01-26 22:26 40K 
[   ]py3-pytaglib-pyc-3.0.0-r0.apk2025-01-26 22:26 2.6K 
[   ]py3-pytap2-2.3.0-r0.apk2024-05-09 01:09 6.7K 
[   ]py3-pytap2-doc-2.3.0-r0.apk2024-05-09 01:09 2.5K 
[   ]py3-pytap2-pyc-2.3.0-r0.apk2024-05-09 01:09 5.7K 
[   ]py3-pyte-0.8.2-r2.apk2024-08-07 03:50 30K 
[   ]py3-pyte-pyc-0.8.2-r2.apk2024-08-07 03:50 39K 
[   ]py3-pytest-expect-1.1.0-r10.apk2024-08-30 23:44 5.6K 
[   ]py3-pytest-expect-pyc-1.1.0-r10.apk2024-08-30 23:44 6.7K 
[   ]py3-pytest-home-0.6.0-r0.apk2024-10-20 01:08 4.3K 
[   ]py3-pytest-home-pyc-0.6.0-r0.apk2024-10-20 01:08 2.5K 
[   ]py3-pytest-html-4.1.1-r1.apk2024-04-30 03:07 21K 
[   ]py3-pytest-html-pyc-4.1.1-r1.apk2024-04-30 03:07 21K 
[   ]py3-pytest-metadata-3.1.1-r0.apk2024-04-30 03:07 9.9K 
[   ]py3-pytest-metadata-pyc-3.1.1-r0.apk2024-04-30 03:07 7.6K 
[   ]py3-pytest-regtest-2.3.2-r0.apk2024-11-13 23:48 16K 
[   ]py3-pytest-regtest-pyc-2.3.2-r0.apk2024-11-13 23:48 30K 
[   ]py3-pytest-subprocess-1.5.2-r0.apk2024-08-07 17:51 19K 
[   ]py3-pytest-subprocess-pyc-1.5.2-r0.apk2024-08-07 17:51 24K 
[   ]py3-python-archive-0.2-r7.apk2024-08-30 23:44 7.1K 
[   ]py3-python-archive-pyc-0.2-r7.apk2024-08-30 23:44 9.1K 
[   ]py3-python-iptables-1.0.1-r1.apk2024-04-30 03:07 38K 
[   ]py3-python-iptables-pyc-1.0.1-r1.apk2024-04-30 03:07 68K 
[   ]py3-python-logstash-0.4.8-r4.apk2024-08-07 03:50 8.3K 
[   ]py3-python-logstash-doc-0.4.8-r4.apk2024-08-07 03:50 2.0K 
[   ]py3-python-logstash-pyc-0.4.8-r4.apk2024-08-07 03:50 8.2K 
[   ]py3-python-stdnum-1.20-r0.apk2024-09-20 02:00 805K 
[   ]py3-python-stdnum-pyc-1.20-r0.apk2024-09-20 02:00 293K 
[   ]py3-pyvcd-0.4.1-r0.apk2024-11-12 05:08 22K 
[   ]py3-pyvcd-pyc-0.4.1-r0.apk2024-11-12 05:08 40K 
[   ]py3-pyzor-1.0.0-r11.apk2024-08-10 00:26 40K 
[   ]py3-pyzor-pyc-1.0.0-r11.apk2024-08-10 00:26 53K 
[   ]py3-qasync-0.19.0-r2.apk2024-08-08 20:23 37K 
[   ]py3-qbittorrent-api-2024.12.71-r0.apk2024-12-23 22:34 56K 
[   ]py3-qbittorrent-api-doc-2024.12.71-r0.apk2024-12-23 22:34 31K 
[   ]py3-qbittorrent-api-pyc-2024.12.71-r0.apk2024-12-23 22:34 94K 
[   ]py3-qdldl-0.1.5-r4.apk2024-08-08 20:19 90K 
[   ]py3-qpageview-0.6.2-r1.apk2024-04-30 03:07 98K 
[   ]py3-qpageview-doc-0.6.2-r1.apk2024-04-30 03:07 56K 
[   ]py3-qpageview-pyc-0.6.2-r1.apk2024-04-30 03:07 180K 
[   ]py3-qt.py-1.3.10-r1.apk2024-08-07 17:51 32K 
[   ]py3-qt.py-pyc-1.3.10-r1.apk2024-08-07 17:51 24K 
[   ]py3-quebra-frases-0.3.7-r1.apk2024-04-30 03:07 8.5K 
[   ]py3-quebra-frases-pyc-0.3.7-r1.apk2024-04-30 03:07 7.5K 
[   ]py3-queuelib-1.7.0-r0.apk2024-09-24 23:56 13K 
[   ]py3-queuelib-pyc-1.7.0-r0.apk2024-09-24 23:56 25K 
[   ]py3-rabbit-1.1.0-r8.apk2024-08-07 03:50 11K 
[   ]py3-rabbit-pyc-1.1.0-r8.apk2024-08-07 03:50 15K 
[   ]py3-radon-6.0.1-r2.apk2024-08-30 23:44 31K 
[   ]py3-radon-doc-6.0.1-r2.apk2024-08-30 23:44 4.9K 
[   ]py3-radon-pyc-6.0.1-r2.apk2024-08-30 23:44 49K 
[   ]py3-recommonmark-0.7.1-r4.apk2024-08-07 03:50 11K 
[   ]py3-recommonmark-pyc-0.7.1-r4.apk2024-08-07 03:50 17K 
[   ]py3-recurring-ical-events-3.4.1-r0.apk2025-01-20 06:38 31K 
[   ]py3-recurring-ical-events-pyc-3.4.1-r0.apk2025-01-20 06:38 33K 
[   ]py3-redmine-2.5.0-r0.apk2024-09-23 00:04 37K 
[   ]py3-redmine-pyc-2.5.0-r0.apk2024-09-23 00:04 53K 
[   ]py3-remind-0.19.1-r0.apk2024-09-10 23:56 24K 
[   ]py3-remind-pyc-0.19.1-r0.apk2024-09-10 23:56 22K 
[   ]py3-requests-cache-1.2.1-r1.apk2024-11-18 09:47 50K 
[   ]py3-requests-cache-pyc-1.2.1-r1.apk2024-11-18 09:47 94K 
[   ]py3-requests-kerberos-0.15.0-r0.apk2024-12-01 18:25 12K 
[   ]py3-requests-kerberos-pyc-0.15.0-r0.apk2024-12-01 18:25 11K 
[   ]py3-requests-wsgi-adapter-0.4.1-r1.apk2024-04-30 03:07 5.2K 
[   ]py3-requests-wsgi-adapter-pyc-0.4.1-r1.apk2024-04-30 03:07 6.3K 
[   ]py3-rfc-bibtex-0.3.2-r7.apk2024-08-07 03:50 13K 
[   ]py3-rfc-bibtex-pyc-0.3.2-r7.apk2024-08-07 03:50 12K 
[   ]py3-rfc3987-1.3.8-r6.apk2024-08-30 23:44 21K 
[   ]py3-rfc3987-pyc-1.3.8-r6.apk2024-08-30 23:44 10K 
[   ]py3-rich-click-1.7.3-r1.apk2024-04-30 03:07 30K 
[   ]py3-rich-click-pyc-1.7.3-r1.apk2024-04-30 03:07 40K 
[   ]py3-riotctrl-0.5.0-r4.apk2024-08-07 17:51 13K 
[   ]py3-riotctrl-pyc-0.5.0-r4.apk2024-08-07 17:51 10K 
[   ]py3-rosdistro-0.9.0-r3.apk2024-04-30 03:07 47K 
[   ]py3-rosdistro-pyc-0.9.0-r3.apk2024-04-30 03:07 91K 
[   ]py3-rospkg-1.2.9-r5.apk2024-04-30 03:07 29K 
[   ]py3-rospkg-pyc-1.2.9-r5.apk2024-04-30 03:07 54K 
[   ]py3-rpio-0.10.1-r8.apk2024-08-07 03:50 35K 
[   ]py3-rpio-pyc-0.10.1-r8.apk2024-08-07 03:50 16K 
[   ]py3-rst-0.1-r9.apk2024-08-08 20:23 5.3K 
[   ]py3-rst-pyc-0.1-r9.apk2024-08-08 20:23 5.9K 
[   ]py3-rst.linker-2.6.0-r0.apk2024-05-13 00:34 5.8K 
[   ]py3-rst.linker-pyc-2.6.0-r0.apk2024-05-13 00:34 6.4K 
[   ]py3-rst2ansi-0.1.5-r0.apk2024-10-22 23:54 12K 
[   ]py3-rst2ansi-doc-0.1.5-r0.apk2024-10-22 23:54 2.0K 
[   ]py3-rst2ansi-pyc-0.1.5-r0.apk2024-10-22 23:54 24K 
[   ]py3-rtree-1.3.0-r0.apk2025-01-04 06:20 24K 
[   ]py3-rtree-pyc-1.3.0-r0.apk2025-01-04 06:20 44K 
[   ]py3-schema-0.7.5-r4.apk2024-08-07 03:50 18K 
[   ]py3-schema-pyc-0.7.5-r4.apk2024-08-07 03:50 18K 
[   ]py3-scour-0.38.2-r1.apk2024-04-30 03:07 56K 
[   ]py3-scour-pyc-0.38.2-r1.apk2024-04-30 03:07 73K 
[   ]py3-scrapy-2.11.1-r1.apk2024-04-30 03:07 240K 
[   ]py3-scrapy-pyc-2.11.1-r1.apk2024-04-30 03:07 482K 
[   ]py3-scs-3.2.3-r4.apk2024-08-07 03:50 94K 
[   ]py3-scs-pyc-3.2.3-r4.apk2024-08-07 03:50 4.7K 
[   ]py3-seqdiag-3.0.0-r5.apk2024-04-30 03:07 2.5M 
[   ]py3-seqdiag-pyc-3.0.0-r5.apk2024-04-30 03:07 42K 
[   ]py3-setuptools-lint-0.6.0-r9.apk2024-04-30 03:07 5.1K 
[   ]py3-setuptools-lint-pyc-0.6.0-r9.apk2024-04-30 03:07 5.7K 
[   ]py3-sh-2.1.0-r0.apk2024-11-01 16:25 38K 
[   ]py3-sh-pyc-2.1.0-r0.apk2024-11-01 16:25 55K 
[   ]py3-shodan-1.31.0-r1.apk2024-04-30 03:07 44K 
[   ]py3-shodan-doc-1.31.0-r1.apk2024-04-30 03:07 6.9K 
[   ]py3-shodan-pyc-1.31.0-r1.apk2024-04-30 03:07 79K 
[   ]py3-simber-0.2.6-r4.apk2024-08-08 20:13 12K 
[   ]py3-simber-pyc-0.2.6-r4.apk2024-08-08 20:13 16K 
[   ]py3-simplematch-1.4-r1.apk2024-04-30 03:07 7.7K 
[   ]py3-simplematch-pyc-1.4-r1.apk2024-04-30 03:07 5.5K 
[   ]py3-simplesat-0.8.2-r0.apk2024-07-23 03:14 214K 
[   ]py3-simplesat-pyc-0.8.2-r0.apk2024-07-23 03:14 156K 
[   ]py3-simplesoapy-1.5.1-r7.apk2024-08-07 03:50 7.6K 
[   ]py3-simplesoapy-pyc-1.5.1-r7.apk2024-08-07 03:50 12K 
[   ]py3-simplespectral-1.0.0-r5.apk2024-08-07 17:51 7.2K 
[   ]py3-simplespectral-pyc-1.0.0-r5.apk2024-08-07 17:51 7.8K 
[   ]py3-slidge-style-parser-0.1.8-r0.apk2024-08-29 12:07 196K 
[   ]py3-slidge-style-parser-pyc-0.1.8-r0.apk2024-08-29 12:07 1.7K 
[   ]py3-slixmpp-1.8.5-r2.apk2024-04-30 03:07 382K 
[   ]py3-slixmpp-doc-1.8.5-r2.apk2024-04-30 03:07 5.5K 
[   ]py3-slixmpp-pyc-1.8.5-r2.apk2024-04-30 03:07 729K 
[   ]py3-snapshottest-0.6.0-r5.apk2024-08-07 03:50 15K 
[   ]py3-snapshottest-pyc-0.6.0-r5.apk2024-08-07 03:50 25K 
[   ]py3-soappy-0.52.30-r0.apk2024-12-03 00:58 47K 
[   ]py3-soappy-pyc-0.52.30-r0.apk2024-12-03 00:58 95K 
[   ]py3-soapy_power-1.6.1-r5.apk2024-08-07 03:50 17K 
[   ]py3-soapy_power-pyc-1.6.1-r5.apk2024-08-07 03:50 26K 
[   ]py3-sortedcollections-2.1.0-r5.apk2024-08-08 20:19 10K 
[   ]py3-sortedcollections-pyc-2.1.0-r5.apk2024-08-08 20:19 14K 
[   ]py3-spake2-0.9-r0.apk2024-09-30 17:25 30K 
[   ]py3-spake2-pyc-0.9-r0.apk2024-09-30 17:25 43K 
[   ]py3-sphinx-argparse-0.5.2-r0.apk2024-08-29 12:07 14K 
[   ]py3-sphinx-argparse-pyc-0.5.2-r0.apk2024-08-29 12:07 22K 
[   ]py3-sphinx-autoapi-3.4.0-r0.apk2024-12-05 00:47 31K 
[   ]py3-sphinx-autoapi-pyc-3.4.0-r0.apk2024-12-05 00:47 58K 
[   ]py3-sphinx-autodoc-typehints-3.0.1-r0.apk2025-01-20 06:38 19K 
[   ]py3-sphinx-autodoc-typehints-pyc-3.0.1-r0.apk2025-01-20 06:38 29K 
[   ]py3-sphinx-theme-better-0.1.5-r7.apk2024-08-07 03:50 10K 
[   ]py3-sphinx-theme-better-pyc-0.1.5-r7.apk2024-08-07 03:50 1.7K 
[   ]py3-sphinx-theme-bootstrap-0.8.1-r4.apk2024-08-07 03:50 1.2M 
[   ]py3-sphinx-theme-bootstrap-pyc-0.8.1-r4.apk2024-08-07 03:50 2.1K 
[   ]py3-sphinx-theme-bw-0.1.8-r7.apk2024-04-30 03:07 64K 
[   ]py3-sphinx-theme-bw-pyc-0.1.8-r7.apk2024-04-30 03:07 1.6K 
[   ]py3-sphinx-theme-cloud-1.10.0-r2.apk2024-04-30 03:07 81K 
[   ]py3-sphinx-theme-cloud-pyc-1.10.0-r2.apk2024-04-30 03:07 42K 
[   ]py3-sphinx-theme-epfl-1.1.1-r9.apk2024-04-30 03:07 30K 
[   ]py3-sphinx-theme-epfl-pyc-1.1.1-r9.apk2024-04-30 03:07 2.2K 
[   ]py3-sphinx-theme-guzzle-0.7.11-r7.apk2024-08-07 03:50 2.4M 
[   ]py3-sphinx-theme-guzzle-pyc-0.7.11-r7.apk2024-08-07 03:50 5.3K 
[   ]py3-sphinx-theme-readable-1.3.0-r9.apk2024-04-30 03:07 8.5K 
[   ]py3-sphinx-theme-readable-pyc-1.3.0-r9.apk2024-04-30 03:07 1.9K 
[   ]py3-sphinxcontrib-actdiag-3.0.0-r4.apk2024-08-07 03:50 7.4K 
[   ]py3-sphinxcontrib-actdiag-pyc-3.0.0-r4.apk2024-08-07 03:50 9.0K 
[   ]py3-sphinxcontrib-adadomain-0.2-r9.apk2024-04-30 03:07 8.7K 
[   ]py3-sphinxcontrib-adadomain-pyc-0.2-r9.apk2024-04-30 03:07 12K 
[   ]py3-sphinxcontrib-bitbucket-1.0-r8.apk2024-04-30 03:07 5.4K 
[   ]py3-sphinxcontrib-bitbucket-pyc-1.0-r8.apk2024-04-30 03:07 3.8K 
[   ]py3-sphinxcontrib-blockdiag-3.0.0-r4.apk2024-04-30 03:07 7.3K 
[   ]py3-sphinxcontrib-blockdiag-pyc-3.0.0-r4.apk2024-04-30 03:07 8.9K 
[   ]py3-sphinxcontrib-cacoo-2.0.0-r7.apk2024-04-30 03:07 5.4K 
[   ]py3-sphinxcontrib-cacoo-pyc-2.0.0-r7.apk2024-04-30 03:07 4.0K 
[   ]py3-sphinxcontrib-cartouche-1.1.2-r7.apk2024-04-30 03:07 18K 
[   ]py3-sphinxcontrib-cartouche-pyc-1.1.2-r7.apk2024-04-30 03:07 33K 
[   ]py3-sphinxcontrib-doxylink-1.12.3-r0.apk2024-12-07 01:51 12K 
[   ]py3-sphinxcontrib-doxylink-pyc-1.12.3-r0.apk2024-12-07 01:51 16K 
[   ]py3-sphinxcontrib-fulltoc-1.2.0-r8.apk2024-04-30 03:07 8.8K 
[   ]py3-sphinxcontrib-fulltoc-pyc-1.2.0-r8.apk2024-04-30 03:07 3.2K 
[   ]py3-sphinxcontrib-gist-0.1.0-r9.apk2024-04-30 03:07 3.6K 
[   ]py3-sphinxcontrib-gist-pyc-0.1.0-r9.apk2024-04-30 03:07 3.1K 
[   ]py3-sphinxcontrib-git-11.0.0-r7.apk2024-04-30 03:07 17K 
[   ]py3-sphinxcontrib-git-pyc-11.0.0-r7.apk2024-04-30 03:07 6.3K 
[   ]py3-sphinxcontrib-gravatar-0.1.2-r8.apk2024-08-30 23:44 7.6K 
[   ]py3-sphinxcontrib-gravatar-pyc-0.1.2-r8.apk2024-08-30 23:44 7.4K 
[   ]py3-sphinxcontrib-htsql-0.1.5-r8.apk2024-04-30 03:07 10K 
[   ]py3-sphinxcontrib-htsql-pyc-0.1.5-r8.apk2024-04-30 03:07 15K 
[   ]py3-sphinxcontrib-httpdomain-1.8.1-r3.apk2024-04-30 03:07 18K 
[   ]py3-sphinxcontrib-httpdomain-lang-1.8.1-r3.apk2024-04-30 03:07 4.1K 
[   ]py3-sphinxcontrib-httpdomain-pyc-1.8.1-r3.apk2024-04-30 03:07 34K 
[   ]py3-sphinxcontrib-hydomain-0.1.0_git20230930-r1.apk2024-04-30 03:07 21K 
[   ]py3-sphinxcontrib-hydomain-pyc-0.1.0_git20230930-r1.apk2024-04-30 03:07 44K 
[   ]py3-sphinxcontrib-inheritance-0.9.0-r9.apk2024-04-30 03:07 10K 
[   ]py3-sphinxcontrib-inheritance-pyc-0.9.0-r9.apk2024-04-30 03:07 15K 
[   ]py3-sphinxcontrib-issuetracker-0.11-r7.apk2024-04-30 03:07 11K 
[   ]py3-sphinxcontrib-issuetracker-pyc-0.11-r7.apk2024-04-30 03:07 12K 
[   ]py3-sphinxcontrib-lassodomain-0.4-r8.apk2024-04-30 03:07 7.6K 
[   ]py3-sphinxcontrib-lassodomain-pyc-0.4-r8.apk2024-04-30 03:07 10K 
[   ]py3-sphinxcontrib-manpage-0.6-r8.apk2024-04-30 03:07 3.9K 
[   ]py3-sphinxcontrib-manpage-pyc-0.6-r8.apk2024-04-30 03:07 2.9K 
[   ]py3-sphinxcontrib-nwdiag-2.0.0-r7.apk2024-04-30 03:07 8.4K 
[   ]py3-sphinxcontrib-nwdiag-pyc-2.0.0-r7.apk2024-04-30 03:07 11K 
[   ]py3-sphinxcontrib-phpdomain-0.12.0-r0.apk2024-08-29 12:07 11K 
[   ]py3-sphinxcontrib-phpdomain-pyc-0.12.0-r0.apk2024-08-29 12:07 17K 
[   ]py3-sphinxcontrib-programoutput-0.17-r5.apk2024-08-07 17:51 16K 
[   ]py3-sphinxcontrib-programoutput-pyc-0.17-r5.apk2024-08-07 17:51 24K 
[   ]py3-sphinxcontrib-restbuilder-0.3-r6.apk2024-04-30 03:07 11K 
[   ]py3-sphinxcontrib-restbuilder-pyc-0.3-r6.apk2024-04-30 03:07 20K 
[   ]py3-sphinxcontrib-seqdiag-3.0.0-r5.apk2024-04-30 03:07 7.4K 
[   ]py3-sphinxcontrib-seqdiag-pyc-3.0.0-r5.apk2024-04-30 03:07 9.0K 
[   ]py3-sphinxcontrib-slide-1.0.0-r3.apk2024-04-30 03:07 4.7K 
[   ]py3-sphinxcontrib-slide-pyc-1.0.0-r3.apk2024-04-30 03:07 5.3K 
[   ]py3-sphinxcontrib-spelling-8.0.0-r3.apk2024-04-30 03:07 15K 
[   ]py3-sphinxcontrib-spelling-pyc-8.0.0-r3.apk2024-04-30 03:07 19K 
[   ]py3-sphinxcontrib-sqltable-2.0.0-r8.apk2024-04-30 03:07 7.1K 
[   ]py3-sphinxcontrib-sqltable-pyc-2.0.0-r8.apk2024-04-30 03:07 4.6K 
[   ]py3-sphinxcontrib-textstyle-0.2.3-r8.apk2024-04-30 03:07 5.8K 
[   ]py3-sphinxcontrib-textstyle-pyc-0.2.3-r8.apk2024-04-30 03:07 5.4K 
[   ]py3-sphobjinv-2.3.1.2-r0.apk2024-12-28 19:25 39K 
[   ]py3-sphobjinv-pyc-2.3.1.2-r0.apk2024-12-28 19:25 51K 
[   ]py3-spidev-3.6-r1.apk2024-07-10 19:46 14K 
[   ]py3-spin-0.8-r0.apk2024-04-30 03:07 18K 
[   ]py3-spin-pyc-0.8-r0.apk2024-04-30 03:07 24K 
[   ]py3-spinners-0.0.24-r5.apk2024-08-30 23:44 5.8K 
[   ]py3-spinners-pyc-0.0.24-r5.apk2024-08-30 23:44 6.0K 
[   ]py3-spnego-0.11.2-r0.apk2025-01-16 09:00 118K 
[   ]py3-spnego-pyc-0.11.2-r0.apk2025-01-16 09:00 219K 
[   ]py3-spotipy-2.24.0-r1.apk2024-08-10 00:27 30K 
[   ]py3-spotipy-pyc-2.24.0-r1.apk2024-08-10 00:27 49K 
[   ]py3-sqlmodel-0.0.22-r1.apk2024-12-07 01:51 26K 
[   ]py3-sqlmodel-pyc-0.0.22-r1.apk2024-12-07 01:51 41K 
[   ]py3-sstash-0.17-r9.apk2024-08-30 23:44 7.5K 
[   ]py3-sstash-pyc-0.17-r9.apk2024-08-30 23:44 10K 
[   ]py3-stringcase-1.2.0-r8.apk2024-04-30 03:07 4.5K 
[   ]py3-stringcase-pyc-1.2.0-r8.apk2024-04-30 03:07 3.6K 
[   ]py3-svgpath-6.3-r3.apk2024-06-15 07:14 17K 
[   ]py3-svgpath-pyc-6.3-r3.apk2024-06-15 07:14 21K 
[   ]py3-synapse-auto-accept-invite-1.2.0-r0.apk2024-07-10 19:46 9.6K 
[   ]py3-synapse-auto-accept-invite-pyc-1.2.0-r0.apk2024-07-10 19:46 5.3K 
[   ]py3-tailer-0.4.1-r7.apk2024-04-30 03:07 6.6K 
[   ]py3-tailer-pyc-0.4.1-r7.apk2024-04-30 03:07 6.4K 
[   ]py3-tasklib-2.5.1-r2.apk2024-04-30 03:07 23K 
[   ]py3-tasklib-pyc-2.5.1-r2.apk2024-04-30 03:07 51K 
[   ]py3-telegram-0.18.0-r3.apk2024-08-10 00:29 13K 
[   ]py3-telegram-bot-21.7-r0.apk2024-12-01 18:08 446K 
[   ]py3-telegram-bot-pyc-21.7-r0.apk2024-12-01 18:08 710K 
[   ]py3-telegram-pyc-0.18.0-r3.apk2024-08-10 00:29 21K 
[   ]py3-telegram-text-0.2.0-r1.apk2024-04-30 03:07 8.9K 
[   ]py3-telegram-text-pyc-0.2.0-r1.apk2024-04-30 03:07 13K 
[   ]py3-telemetrix-1.20-r3.apk2024-08-07 03:50 21K 
[   ]py3-telemetrix-pyc-1.20-r3.apk2024-08-07 03:50 31K 
[   ]py3-teletype-1.3.4-r3.apk2024-04-30 03:07 15K 
[   ]py3-teletype-pyc-1.3.4-r3.apk2024-04-30 03:07 20K 
[   ]py3-testresources-2.0.1-r6.apk2024-07-10 05:13 17K 
[   ]py3-testresources-pyc-2.0.1-r6.apk2024-07-10 05:13 16K 
[   ]py3-textual-0.87.1-r0.apk2024-12-07 21:54 567K 
[   ]py3-textual-pyc-0.87.1-r0.apk2024-12-07 21:54 1.1M 
[   ]py3-tg-0.19.0-r5.apk2024-08-07 03:50 73K 
[   ]py3-tg-pyc-0.19.0-r5.apk2024-08-07 03:50 80K 
[   ]py3-thefuzz-0.22.1-r1.apk2024-04-30 03:07 9.7K 
[   ]py3-thefuzz-pyc-0.22.1-r1.apk2024-04-30 03:07 8.7K 
[   ]py3-ticket-auth-0.1.4-r9.apk2024-08-08 20:23 5.7K 
[   ]py3-ticket-auth-pyc-0.1.4-r9.apk2024-08-08 20:23 6.1K 
[   ]py3-tidalapi-0.7.4-r1.apk2024-04-30 03:07 35K 
[   ]py3-tidalapi-pyc-0.7.4-r1.apk2024-04-30 03:07 62K 
[   ]py3-timeago-1.0.16-r0.apk2024-05-07 10:33 23K 
[   ]py3-timeago-doc-1.0.16-r0.apk2024-05-07 10:33 2.6K 
[   ]py3-timeago-pyc-1.0.16-r0.apk2024-05-07 10:33 27K 
[   ]py3-tls_parser-2.0.1-r1.apk2024-04-30 03:07 8.9K 
[   ]py3-tls_parser-pyc-2.0.1-r1.apk2024-04-30 03:07 17K 
[   ]py3-tlslite-ng-0.7.6-r8.apk2024-08-07 03:50 178K 
[   ]py3-tlslite-ng-pyc-0.7.6-r8.apk2024-08-07 03:50 275K 
[   ]py3-tpm2-pytss-2.3.0-r1.apk2024-09-21 02:25 296K 
[   ]py3-tpm2-pytss-pyc-2.3.0-r1.apk2024-09-21 02:25 236K 
[   ]py3-transitions-0.9.2-r0.apk2024-09-20 02:04 97K 
[   ]py3-transitions-pyc-0.9.2-r0.apk2024-09-20 02:04 129K 
[   ]py3-translationstring-1.4-r4.apk2024-08-07 03:50 8.9K 
[   ]py3-translationstring-pyc-1.4-r4.apk2024-08-07 03:50 8.5K 
[   ]py3-trivup-0.12.2-r2.apk2024-08-07 03:50 34K 
[   ]py3-trivup-pyc-0.12.2-r2.apk2024-08-07 03:50 55K 
[   ]py3-truststore-0.10.0-r0.apk2024-10-25 00:00 17K 
[   ]py3-truststore-pyc-0.10.0-r0.apk2024-10-25 00:00 25K 
[   ]py3-twiggy-0.5.1-r4.apk2024-08-08 20:19 24K 
[   ]py3-twiggy-pyc-0.5.1-r4.apk2024-08-08 20:19 38K 
[   ]py3-typing_inspect-0.9.0-r2.apk2024-04-30 03:07 9.6K 
[   ]py3-typing_inspect-pyc-0.9.0-r2.apk2024-04-30 03:07 14K 
[   ]py3-u-msgpack-2.8.0-r2.apk2024-08-07 03:50 11K 
[   ]py3-u-msgpack-pyc-2.8.0-r2.apk2024-08-07 03:50 16K 
[   ]py3-uacme-desec-1.2.1-r0.apk2024-10-13 15:12 5.4K 
[   ]py3-uacme-desec-doc-1.2.1-r0.apk2024-10-13 15:12 1.9K 
[   ]py3-uacme-desec-pyc-1.2.1-r0.apk2024-10-13 15:12 6.5K 
[   ]py3-uc-micro-py-1.0.2-r1.apk2024-04-30 03:07 8.8K 
[   ]py3-unearth-0.17.2-r0.apk2024-09-05 23:46 41K 
[   ]py3-unearth-pyc-0.17.2-r0.apk2024-09-05 23:46 82K 
[   ]py3-unicorn-2.0.1-r4.apk2024-04-30 03:07 33K 
[   ]py3-unicorn-pyc-2.0.1-r4.apk2024-04-30 03:07 57K 
[   ]py3-unicrypto-0.0.10-r2.apk2024-04-30 03:07 61K 
[   ]py3-unicrypto-pyc-0.0.10-r2.apk2024-04-30 03:07 94K 
[   ]py3-unidns-0.0.1-r2.apk2024-04-30 03:07 13K 
[   ]py3-unidns-examples-0.0.1-r2.apk2024-04-30 03:07 2.4K 
[   ]py3-unidns-pyc-0.0.1-r2.apk2024-04-30 03:07 21K 
[   ]py3-uptime-3.0.1-r9.apk2024-08-07 03:50 9.3K 
[   ]py3-uptime-pyc-3.0.1-r9.apk2024-08-07 03:50 8.4K 
[   ]py3-urlobject-2.4.3-r9.apk2024-08-30 23:44 14K 
[   ]py3-urlobject-pyc-2.4.3-r9.apk2024-08-30 23:44 24K 
[   ]py3-us-3.2.0-r0.apk2024-09-24 23:56 14K 
[   ]py3-us-pyc-3.2.0-r0.apk2024-09-24 23:56 15K 
[   ]py3-utc-0.0.3-r9.apk2024-08-08 20:19 3.2K 
[   ]py3-utc-pyc-0.0.3-r9.apk2024-08-08 20:19 2.5K 
[   ]py3-vatnumber-1.2-r9.apk2024-08-07 17:51 18K 
[   ]py3-vatnumber-pyc-1.2-r9.apk2024-08-07 17:51 8.4K 
[   ]py3-vdf-3.4-r1.apk2024-04-30 03:07 11K 
[   ]py3-vdf-pyc-3.4-r1.apk2024-04-30 03:07 16K 
[   ]py3-venusian-3.1.1-r0.apk2024-12-07 22:14 14K 
[   ]py3-venusian-pyc-3.1.1-r0.apk2024-12-07 22:14 12K 
[   ]py3-virtualenvwrapper-6.1.0-r1.apk2024-04-30 03:07 22K 
[   ]py3-virtualenvwrapper-pyc-6.1.0-r1.apk2024-04-30 03:07 11K 
[   ]py3-visitor-0.1.3-r7.apk2024-08-07 03:50 4.4K 
[   ]py3-visitor-pyc-0.1.3-r7.apk2024-08-07 03:50 2.4K 
[   ]py3-ward-0.67.0_beta0-r2.apk2024-04-30 03:07 40K 
[   ]py3-ward-pyc-0.67.0_beta0-r2.apk2024-04-30 03:07 79K 
[   ]py3-wbdata-1.0.0-r1.apk2024-04-30 03:07 18K 
[   ]py3-wbdata-pyc-1.0.0-r1.apk2024-04-30 03:07 19K 
[   ]py3-wg-netns-2.3.1-r1.apk2024-04-30 03:07 7.3K 
[   ]py3-wg-netns-pyc-2.3.1-r1.apk2024-04-30 03:07 13K 
[   ]py3-wgconfig-1.1.0-r0.apk2025-01-29 07:50 22K 
[   ]py3-wgconfig-pyc-1.1.0-r0.apk2025-01-29 07:50 11K 
[   ]py3-wifi-0.3.8-r7.apk2024-08-08 20:19 13K 
[   ]py3-wifi-pyc-0.3.8-r7.apk2024-08-08 20:19 13K 
[   ]py3-winacl-0.1.9-r0.apk2024-05-12 11:07 83K 
[   ]py3-winacl-pyc-0.1.9-r0.apk2024-05-12 11:07 132K 
[   ]py3-wsgiprox-1.5.2-r1.apk2024-07-11 07:04 16K 
[   ]py3-wsgiprox-pyc-1.5.2-r1.apk2024-07-11 07:04 28K 
[   ]py3-wstools-0.4.10-r7.apk2024-08-07 03:50 53K 
[   ]py3-wstools-pyc-0.4.10-r7.apk2024-08-07 03:50 110K 
[   ]py3-wtf-peewee-3.0.6-r0.apk2024-10-24 19:28 12K 
[   ]py3-wtf-peewee-pyc-3.0.6-r0.apk2024-10-24 19:28 24K 
[   ]py3-x-wr-timezone-2.0.1-r0.apk2025-02-09 16:14 11K 
[   ]py3-x-wr-timezone-pyc-2.0.1-r0.apk2025-02-09 16:14 6.8K 
[   ]py3-xapp-2.4.2-r0.apk2024-11-12 12:30 33K 
[   ]py3-xdoctest-1.2.0-r0.apk2024-11-21 14:43 312K 
[   ]py3-xlwt-1.3.0-r9.apk2024-04-30 03:07 94K 
[   ]py3-xlwt-pyc-1.3.0-r9.apk2024-04-30 03:07 165K 
[   ]py3-xsdata-24.12-r0.apk2024-12-23 23:00 188K 
[   ]py3-xsdata-pyc-24.12-r0.apk2024-12-23 23:00 389K 
[   ]py3-yapsy-1.12.2-r7.apk2024-04-30 03:07 32K 
[   ]py3-yapsy-pyc-1.12.2-r7.apk2024-04-30 03:07 47K 
[   ]py3-yara-4.5.1-r0.apk2024-05-30 11:30 18K 
[   ]py3-youtube-search-1.6.6-r4.apk2024-08-10 00:27 79K 
[   ]py3-youtube-search-pyc-1.6.6-r4.apk2024-08-10 00:27 96K 
[   ]py3-zimscraperlib-3.4.0-r0.apk2024-11-06 11:08 51K 
[   ]py3-zimscraperlib-pyc-3.4.0-r0.apk2024-11-06 11:08 68K 
[   ]py3-zipfile2-0.0.12-r0.apk2024-07-23 03:14 45K 
[   ]py3-zipfile2-pyc-0.0.12-r0.apk2024-07-23 03:14 29K 
[   ]py3-zope-configuration-5.0.1-r2.apk2024-04-30 03:07 39K 
[   ]py3-zope-configuration-pyc-5.0.1-r2.apk2024-04-30 03:07 48K 
[   ]py3-zope-i18nmessageid-6.1.0-r2.apk2024-09-02 22:39 15K 
[   ]py3-zope-i18nmessageid-pyc-6.1.0-r2.apk2024-09-02 22:39 7.7K 
[   ]py3-zope-schema-7.0.1-r3.apk2024-08-07 03:50 45K 
[   ]py3-zope-schema-pyc-7.0.1-r3.apk2024-08-07 03:50 61K 
[   ]pyinfra-3.2-r0.apk2025-01-23 03:55 186K 
[   ]pyinfra-pyc-3.2-r0.apk2025-01-23 03:55 348K 
[   ]pympress-1.8.5-r1.apk2024-04-30 03:07 181K 
[   ]pympress-doc-1.8.5-r1.apk2024-04-30 03:07 348K 
[   ]pympress-lang-1.8.5-r1.apk2024-04-30 03:07 56K 
[   ]pympress-pyc-1.8.5-r1.apk2024-04-30 03:07 182K 
[   ]pyonji-0.1.0-r1.apk2025-01-20 06:38 2.5M 
[   ]pypykatz-0.6.10-r0.apk2024-08-08 23:31 318K 
[   ]pypykatz-pyc-0.6.10-r0.apk2024-08-08 23:31 707K 
[   ]pyradio-0.9.3.11-r0.apk2024-10-17 21:57 871K 
[   ]pyradio-doc-0.9.3.11-r0.apk2024-10-17 21:57 112K 
[   ]pyradio-pyc-0.9.3.11-r0.apk2024-10-17 21:57 810K 
[   ]qbittorrent-cli-2.1.0-r1.apk2025-01-20 06:38 5.0M 
[   ]qdjango-0.6.2-r1.apk2024-06-22 12:59 103K 
[   ]qdjango-dev-0.6.2-r1.apk2024-06-22 12:59 14K 
[   ]qflipper-1.3.3-r1.apk2024-10-02 20:19 486K 
[   ]qflipper-gui-1.3.3-r1.apk2024-10-02 20:19 1.1M 
[   ]qml-box2d-0_git20180406-r0.apk2021-07-27 10:12 144K 
[   ]qoi-0.0.0_git20230312-r0.apk2023-03-17 07:21 1.2K 
[   ]qoi-dev-0.0.0_git20230312-r0.apk2023-03-17 07:21 6.6K 
[   ]qoiconv-0.0.0_git20230312-r0.apk2023-03-17 07:21 28K 
[   ]qownnotes-23.6.6-r0.apk2023-06-26 22:12 2.4M 
[   ]qownnotes-lang-23.6.6-r0.apk2023-06-26 22:12 4.4M 
[   ]qperf-0.4.11-r1.apk2022-10-25 15:04 32K 
[   ]qperf-doc-0.4.11-r1.apk2022-10-25 15:04 5.3K 
[   ]qqc2-suru-style-0.20230206-r1.apk2024-06-22 12:59 173K 
[   ]qspectrumanalyzer-2.2.0-r5.apk2024-08-07 03:50 54K 
[   ]qspectrumanalyzer-pyc-2.2.0-r5.apk2024-08-07 03:50 62K 
[   ]qsstv-9.5.8-r2.apk2023-03-17 20:11 922K 
[   ]qstardict-2.0.2-r1.apk2024-11-25 10:47 456K 
[   ]qstardict-doc-2.0.2-r1.apk2024-11-25 10:47 10K 
[   ]qsynth-1.0.2-r0.apk2024-10-03 23:55 416K 
[   ]qsynth-doc-1.0.2-r0.apk2024-10-03 23:55 4.1K 
[   ]qt-jdenticon-0.3.1-r0.apk2024-10-19 22:14 27K 
[   ]qt-jdenticon-doc-0.3.1-r0.apk2024-10-19 22:14 1.9K 
[   ]qt-wayland-shell-helpers-0.1.1-r3.apk2023-05-01 23:45 13K 
[   ]qt-wayland-shell-helpers-dev-0.1.1-r3.apk2023-05-01 23:45 3.7K 
[   ]qt5ct-1.8-r0.apk2024-07-23 03:14 224K 
[   ]qt5ct-dev-1.8-r0.apk2024-07-23 03:14 1.2K 
[   ]qt6ct-0.9-r3.apk2024-12-09 21:08 191K 
[   ]qtile-0.23.0-r2.apk2024-08-07 03:50 381K 
[   ]qtile-pyc-0.23.0-r2.apk2024-08-07 03:50 724K 
[   ]qtox-1.17.6-r6.apk2024-01-20 18:31 5.1M 
[   ]qtpass-1.4.0-r0.apk2023-12-14 17:55 434K 
[   ]qtpass-doc-1.4.0-r0.apk2023-12-14 17:55 1.9K 
[   ]quakespasm-0.96.3-r0.apk2024-08-07 03:50 503K 
[   ]queercat-1.0.0-r0.apk2023-08-19 21:23 7.2K 
[   ]quodlibet-4.6.0-r1.apk2024-04-30 03:07 1.0M 
[   ]quodlibet-bash-completion-4.6.0-r1.apk2024-04-30 03:07 4.4K 
[   ]quodlibet-doc-4.6.0-r1.apk2024-04-30 03:07 8.4K 
[   ]quodlibet-lang-4.6.0-r1.apk2024-04-30 03:07 1.2M 
[   ]quodlibet-pyc-4.6.0-r1.apk2024-04-30 03:07 1.8M 
[   ]quodlibet-zsh-completion-4.6.0-r1.apk2024-04-30 03:07 2.4K 
[   ]randrctl-1.10.0-r0.apk2024-11-17 23:16 28K 
[   ]randrctl-pyc-1.10.0-r0.apk2024-11-17 23:16 31K 
[   ]rankwidth-0.9-r3.apk2023-08-19 21:23 5.1K 
[   ]rankwidth-dev-0.9-r3.apk2023-08-19 21:23 2.7K 
[   ]rankwidth-doc-0.9-r3.apk2023-08-19 21:23 2.8K 
[   ]rankwidth-libs-0.9-r3.apk2023-08-19 21:23 4.7K 
[   ]rankwidth-static-0.9-r3.apk2023-08-19 21:23 6.0K 
[   ]raspberrypi-usbboot-20210701-r3.apk2024-09-29 17:31 1.5M 
[   ]rattler-build-0.18.0-r0.apk2024-06-19 23:46 5.7M 
[   ]rattler-build-bash-completion-0.18.0-r0.apk2024-06-19 23:46 3.4K 
[   ]rattler-build-doc-0.18.0-r0.apk2024-06-19 23:46 6.5K 
[   ]rattler-build-fish-completion-0.18.0-r0.apk2024-06-19 23:46 4.5K 
[   ]rattler-build-zsh-completion-0.18.0-r0.apk2024-06-19 23:46 5.2K 
[   ]rauc-1.10.1-r0.apk2023-08-19 21:23 142K 
[   ]rauc-doc-1.10.1-r0.apk2023-08-19 21:23 3.9K 
[   ]rauc-service-1.10.1-r0.apk2023-08-19 21:23 3.5K 
[   ]razercfg-0.42-r7.apk2024-10-03 16:27 79K 
[   ]razercfg-gui-0.42-r7.apk2024-10-03 16:27 19K 
[   ]razercfg-openrc-0.42-r7.apk2024-10-03 16:27 1.5K 
[   ]razercfg-pyc-0.42-r7.apk2024-10-03 16:27 35K 
[   ]rcon-cli-1.6.2-r7.apk2025-01-20 06:38 2.4M 
[   ]rdedup-3.2.1-r5.apk2024-09-29 07:19 806K 
[   ]rdrview-0.1.2-r0.apk2024-06-09 16:33 28K 
[   ]rdrview-doc-0.1.2-r0.apk2024-06-09 16:33 3.5K 
[   ]reaction-1.4.1-r2.apk2025-01-20 06:38 2.1M 
[   ]reaction-openrc-1.4.1-r2.apk2025-01-20 06:38 1.5K 
[   ]reaction-tools-1.4.1-r2.apk2025-01-20 06:38 43K 
[   ]readosm-1.1.0-r2.apk2021-09-28 00:26 13K 
[   ]readosm-dev-1.1.0-r2.apk2021-09-28 00:26 29K 
[   ]reaver-wps-fork-t6x-1.6.6-r1.apk2022-10-25 15:04 381K 
[   ]recoll-1.37.5-r1.apk2024-04-30 03:07 2.8M 
[   ]recoll-dev-1.37.5-r1.apk2024-04-30 03:07 53K 
[   ]recoll-doc-1.37.5-r1.apk2024-04-30 03:07 21K 
[   ]refine-0.4.4-r0.apk2025-02-06 00:09 27K 
[   ]refine-lang-0.4.4-r0.apk2025-02-06 00:09 15K 
[   ]regal-0.29.2-r1.apk2025-01-20 06:38 9.3M 
[   ]regal-bash-completion-0.29.2-r1.apk2025-01-20 06:38 4.7K 
[   ]regal-fish-completion-0.29.2-r1.apk2025-01-20 06:38 4.0K 
[   ]regal-zsh-completion-0.29.2-r1.apk2025-01-20 06:38 3.7K 
[   ]regclient-0.7.1-r1.apk2025-01-20 06:38 12M 
[   ]remake-1.5-r1.apk2022-10-25 15:04 127K 
[   ]remake-dev-1.5-r1.apk2022-10-25 15:04 2.7K 
[   ]remake-doc-1.5-r1.apk2022-10-25 15:04 201K 
[   ]remake-make-1.5-r1.apk2022-10-25 15:04 1.3K 
[   ]remind-caldav-0.8.0-r4.apk2024-08-30 23:44 17K 
[   ]remind-caldav-pyc-0.8.0-r4.apk2024-08-30 23:44 5.9K 
[   ]repgrep-0.15.0-r0.apk2024-01-04 20:37 1.2M 
[   ]repgrep-bash-completion-0.15.0-r0.apk2024-01-04 20:37 1.4K 
[   ]repgrep-doc-0.15.0-r0.apk2024-01-04 20:37 6.2K 
[   ]repgrep-fish-completion-0.15.0-r0.apk2024-01-04 20:37 3.9K 
[   ]repgrep-zsh-completion-0.15.0-r0.apk2024-01-04 20:37 1.4K 
[   ]repo-2.50.1-r0.apk2024-12-21 00:02 17K 
[   ]repo-doc-2.50.1-r0.apk2024-12-21 00:02 38K 
[   ]repowerd-2023.07-r2.apk2024-06-22 12:59 891K 
[   ]repowerd-openrc-2023.07-r2.apk2024-06-22 12:59 1.5K 
[   ]reprotest-0.7.29-r0.apk2024-12-23 05:07 80K 
[   ]reprotest-pyc-0.7.29-r0.apk2024-12-23 05:07 103K 
[   ]resources-1.6.0-r1.apk2024-09-24 16:42 2.2M 
[   ]resources-lang-1.6.0-r1.apk2024-09-24 16:42 91K 
[   ]responder-3.1.5.0-r0.apk2024-10-10 07:15 750K 
[   ]restart-services-0.17.0-r0.apk2021-12-22 13:37 12K 
[   ]restart-services-doc-0.17.0-r0.apk2021-12-22 13:37 5.9K 
[   ]restic.mk-0.4.0-r0.apk2023-05-21 14:09 2.7K 
[   ]restinio-0.6.19-r1.apk2024-12-14 23:22 1.0K 
[   ]restinio-dev-0.6.19-r1.apk2024-12-14 23:22 268K 
[   ]rgxg-0.1.2-r2.apk2023-05-21 14:09 13K 
[   ]rgxg-dev-0.1.2-r2.apk2023-05-21 14:09 3.3K 
[   ]rgxg-doc-0.1.2-r2.apk2023-05-21 14:09 12K 
[   ]rhasspy-nlu-0.4.0-r3.apk2024-04-30 03:07 43K 
[   ]rhasspy-nlu-pyc-0.4.0-r3.apk2024-04-30 03:07 73K 
[   ]rime-ls-0.4.1-r0.apk2024-12-26 05:50 1.1M 
[   ]rinetd-0.73-r0.apk2023-12-14 17:55 14K 
[   ]rinetd-doc-0.73-r0.apk2023-12-14 17:55 16K 
[   ]rinetd-openrc-0.73-r0.apk2023-12-14 17:55 1.5K 
[   ]rio-0.2.5-r0.apk2025-02-01 21:24 10M 
[   ]rio-doc-0.2.5-r0.apk2025-02-01 21:24 2.0K 
[   ]rio-terminfo-0.2.5-r0.apk2025-02-01 21:24 3.1K 
[   ]ripdrag-0.4.10-r0.apk2024-09-05 12:16 327K 
[   ]river-shifttags-0.2.1-r0.apk2024-09-09 13:49 6.0K 
[   ]river-shifttags-doc-0.2.1-r0.apk2024-09-09 13:49 2.1K 
[   ]rizin-0.6.3-r1.apk2024-07-13 07:56 2.7M 
[   ]rizin-cutter-2.3.2-r2.apk2024-04-30 03:07 2.1M 
[   ]rizin-cutter-dev-2.3.2-r2.apk2024-04-30 03:07 108K 
[   ]rizin-dev-0.6.3-r1.apk2024-07-13 07:56 306K 
[   ]rizin-doc-0.6.3-r1.apk2024-07-13 07:56 17K 
[   ]rizin-libs-0.6.3-r1.apk2024-07-13 07:56 4.2M 
[   ]rkdeveloptool-1.1.0-r1.apk2024-10-03 16:27 59K 
[   ]rkdeveloptool-doc-1.1.0-r1.apk2024-10-03 16:27 2.7K 
[   ]rke-1.4.3-r11.apk2025-01-20 06:38 19M 
[   ]rke-doc-1.4.3-r11.apk2025-01-20 06:38 2.6K 
[   ]rmlint-2.10.2-r2.apk2024-09-30 04:20 139K 
[   ]rmlint-doc-2.10.2-r2.apk2024-09-30 04:20 18K 
[   ]rmlint-lang-2.10.2-r2.apk2024-09-30 04:20 19K 
[   ]rmlint-shredder-2.10.2-r2.apk2024-09-30 04:20 96K 
[   ]rmlint-shredder-pyc-2.10.2-r2.apk2024-09-30 04:20 124K 
[   ]rofi-blocks-0.1.0-r0.apk2024-09-30 07:29 11K 
[   ]rofi-json-menu-0.2.0-r1.apk2024-09-30 03:50 4.9K 
[   ]rook-0.2.0-r2.apk2025-01-20 06:38 1.8M 
[   ]rook-autotype-0.2.0-r2.apk2025-01-20 06:38 3.4K 
[   ]rook-doc-0.2.0-r2.apk2025-01-20 06:38 23K 
[   ]rook-getattr-0.2.0-r2.apk2025-01-20 06:38 2.4K 
[   ]rosdep-0.19.0-r6.apk2024-09-02 22:34 66K 
[   ]rosdep-pyc-0.19.0-r6.apk2024-09-02 22:34 119K 
[   ]roswell-24.10.115-r0.apk2024-10-17 15:56 111K 
[   ]roswell-doc-24.10.115-r0.apk2024-10-17 15:56 18K 
[   ]rpi-imager-1.9.0-r0.apk2024-09-27 19:19 694K 
[   ]rpi-imager-doc-1.9.0-r0.apk2024-09-27 19:19 2.8K 
[   ]rsstail-2.1-r1.apk2022-10-25 15:04 6.5K 
[   ]rsstail-doc-2.1-r1.apk2022-10-25 15:04 2.3K 
[   ]rtl-power-fftw-20200601-r4.apk2024-04-30 03:07 61K 
[   ]rtl-power-fftw-doc-20200601-r4.apk2024-04-30 03:07 7.9K 
[   ]rtl88x2bu-src-5.13.1_git20230711-r0.apk2023-07-26 11:13 4.0M 
[   ]rtmidi-6.0.0-r0.apk2023-08-19 21:23 30K 
[   ]rtmidi-dev-6.0.0-r0.apk2023-08-19 21:23 14K 
[   ]rtptools-1.22-r2.apk2022-10-25 15:04 26K 
[   ]rtptools-doc-1.22-r2.apk2022-10-25 15:04 12K 
[   ]rtw89-src-7_p20230725-r0.apk2023-07-26 11:13 759K 
[   ]ruby-base64-0.2.0-r0.apk2024-10-11 20:41 4.9K 
[   ]ruby-build-20241225.2-r0.apk2025-01-09 07:30 88K 
[   ]ruby-build-doc-20241225.2-r0.apk2025-01-09 07:30 4.5K 
[   ]ruby-build-runtime-20241225.2-r0.apk2025-01-09 07:30 1.0K 
[   ]ruby-docile-1.4.1-r0.apk2024-10-15 13:02 5.7K 
[   ]ruby-docile-doc-1.4.1-r0.apk2024-10-15 13:02 1.9K 
[   ]ruby-notify-0.5.2-r0.apk2024-10-12 23:41 5.8K 
[   ]ruby-notify-doc-0.5.2-r0.apk2024-10-12 23:41 1.9K 
[   ]ruby-rainbow-3.1.1-r0.apk2024-10-11 20:41 7.9K 
[   ]ruby-simplecov-0.22.0-r0.apk2024-10-15 13:02 30K 
[   ]ruby-simplecov-cobertura-2.1.0-r0.apk2024-10-15 13:02 2.0K 
[   ]ruby-simplecov-doc-0.22.0-r0.apk2024-10-15 13:02 3.8K 
[   ]ruby-simplecov-html-0.13.1-r0.apk2024-10-15 13:02 4.2K 
[   ]ruby-simplecov-html-doc-0.13.1-r0.apk2024-10-15 13:02 1.9K 
[   ]ruby-simplecov_json_formatter-0.1.4-r0.apk2024-10-15 13:02 3.2K 
[   ]ruby-simplecov_json_formatter-doc-0.1.4-r0.apk2024-10-15 13:02 2.0K 
[   ]ruby-syslog-0.2.0-r1.apk2025-01-20 06:38 8.4K 
[   ]ruby-yard-0.9.37-r0.apk2024-10-11 20:42 595K 
[   ]ruby-yard-doc-0.9.37-r0.apk2024-10-11 20:42 48K 
[   ]ruff-lsp-0.0.53-r0.apk2024-04-30 03:07 21K 
[   ]ruff-lsp-pyc-0.0.53-r0.apk2024-04-30 03:07 34K 
[   ]runst-0.1.7-r0.apk2024-03-27 04:58 1.6M 
[   ]runst-doc-0.1.7-r0.apk2024-03-27 04:58 7.5K 
[   ]rust-script-0.35.0-r0.apk2024-10-27 17:42 914K 
[   ]rustic-0.9.3-r0.apk2024-10-18 09:36 5.9M 
[   ]rustic-bash-completion-0.9.3-r0.apk2024-10-18 09:36 8.4K 
[   ]rustic-fish-completion-0.9.3-r0.apk2024-10-18 09:36 16K 
[   ]rustic-zsh-completion-0.9.3-r0.apk2024-10-18 09:36 13K 
[   ]rustscan-2.3.0-r0.apk2024-10-12 23:55 1.3M 
[   ]rvlprog-0.91-r2.apk2024-07-11 16:44 26K 
[   ]ry-0.5.2-r1.apk2022-10-25 15:04 4.4K 
[   ]ry-bash-completion-0.5.2-r1.apk2022-10-25 15:04 1.7K 
[   ]ry-zsh-completion-0.5.2-r1.apk2022-10-25 15:04 2.0K 
[   ]rygel-0.44.1-r0.apk2024-12-01 14:17 756K 
[   ]rygel-dev-0.44.1-r0.apk2024-12-01 14:17 42K 
[   ]rygel-doc-0.44.1-r0.apk2024-12-01 14:17 9.3K 
[   ]rygel-lang-0.44.1-r0.apk2024-12-01 14:17 567K 
[   ]s-dkim-sign-0.6.2-r0.apk2024-06-02 09:03 57K 
[   ]s-dkim-sign-doc-0.6.2-r0.apk2024-06-02 09:03 8.3K 
[   ]s-postgray-0.8.3-r0.apk2024-06-23 04:52 46K 
[   ]s-postgray-doc-0.8.3-r0.apk2024-06-23 04:52 9.3K 
[   ]s5cmd-2.2.2-r6.apk2025-01-20 06:38 4.6M 
[   ]saait-0.8-r0.apk2024-06-27 21:56 6.9K 
[   ]saait-doc-0.8-r0.apk2024-06-27 21:56 12K 
[   ]sacc-1.07-r0.apk2023-12-14 17:55 15K 
[   ]sacc-doc-1.07-r0.apk2023-12-14 17:55 2.6K 
[   ]sandbar-0.1-r0.apk2023-07-04 13:04 15K 
[   ]satellite-1.0.0-r24.apk2025-01-20 06:38 1.9M 
[   ]satellite-doc-1.0.0-r24.apk2025-01-20 06:38 2.7K 
[   ]satellite-openrc-1.0.0-r24.apk2025-01-20 06:38 1.6K 
[   ]sauerbraten-2020.12.29-r3.apk2024-03-03 08:17 934M 
[   ]sbase-0_git20210730-r3.apk2024-09-29 17:31 121K 
[   ]sbase-doc-0_git20210730-r3.apk2024-09-29 17:31 58K 
[   ]sblg-0.5.11-r0.apk2023-02-06 20:25 35K 
[   ]sblg-doc-0.5.11-r0.apk2023-02-06 20:25 1.3M 
[   ]sblim-sfcc-2.2.8-r3.apk2024-09-25 16:50 54K 
[   ]sblim-sfcc-dev-2.2.8-r3.apk2024-09-25 16:50 22K 
[   ]sblim-sfcc-doc-2.2.8-r3.apk2024-09-25 16:50 34K 
[   ]sblim-wbemcli-1.6.3-r1.apk2022-10-25 15:04 99K 
[   ]sblim-wbemcli-doc-1.6.3-r1.apk2022-10-25 15:04 4.3K 
[   ]sc-controller-0.5.0-r0.apk2025-01-27 22:46 1.3M 
[   ]sc-controller-pyc-0.5.0-r0.apk2025-01-27 22:46 813K 
[   ]sc-im-0.8.4-r0.apk2024-08-17 12:02 170K 
[   ]sc-im-doc-0.8.4-r0.apk2024-08-17 12:02 4.5K 
[   ]scalingo-1.30.0-r6.apk2025-01-20 06:38 4.9M 
[   ]scap-workbench-1.2.1-r3.apk2024-10-17 15:56 235K 
[   ]scap-workbench-doc-1.2.1-r3.apk2024-10-17 15:56 1.6M 
[   ]schismtracker-20231029-r0.apk2023-12-14 17:55 395K 
[   ]schismtracker-doc-20231029-r0.apk2023-12-14 17:55 6.0K 
[   ]sciteco-2.3.0-r0.apk2024-12-29 10:00 1.3M 
[   ]sciteco-common-2.3.0-r0.apk2024-12-29 10:00 150K 
[   ]sciteco-doc-2.3.0-r0.apk2024-12-29 10:00 110K 
[   ]sciteco-gtk-2.3.0-r0.apk2024-12-29 10:00 1.4M 
[   ]scooper-1.3-r1.apk2023-05-21 14:09 506K 
[   ]scooper-doc-1.3-r1.apk2023-05-21 14:09 2.3K 
[   ]screenkey-1.5-r6.apk2024-04-30 03:07 77K 
[   ]screenkey-doc-1.5-r6.apk2024-04-30 03:07 11K 
[   ]screenkey-pyc-1.5-r6.apk2024-04-30 03:07 73K 
[   ]scrypt-1.3.2-r0.apk2023-10-03 13:08 27K 
[   ]scrypt-doc-1.3.2-r0.apk2023-10-03 13:08 4.0K 
[   ]sct-2018.12.18-r1.apk2021-10-28 22:51 3.3K 
[   ]sdparm-1.12-r1.apk2022-10-25 15:04 135K 
[   ]sdparm-doc-1.12-r1.apk2022-10-25 15:04 19K 
[   ]secsipidx-1.3.2-r8.apk2025-01-20 06:38 2.4M 
[   ]secsipidx-dev-1.3.2-r8.apk2025-01-20 06:38 5.2M 
[   ]secsipidx-libs-1.3.2-r8.apk2025-01-20 06:38 2.1M 
[   ]sedutil-1.15.1-r1.apk2022-10-25 15:04 194K 
[   ]sedutil-doc-1.15.1-r1.apk2022-10-25 15:04 2.8K 
[   ]seed7-05.20240322-r0.apk2024-03-25 13:20 10M 
[   ]seed7-doc-05.20240322-r0.apk2024-03-25 13:20 1.6M 
[   ]seed7-nano-05.20240322-r0.apk2024-03-25 13:20 2.2K 
[   ]seed7-vim-05.20240322-r0.apk2024-03-25 13:20 3.8K 
[   ]sentinel-minipot-2.3.0-r1.apk2023-12-14 17:55 41K 
[   ]sentinel-minipot-openrc-2.3.0-r1.apk2023-12-14 17:55 2.3K 
[   ]sentinel-proxy-2.1.0-r0.apk2023-12-14 17:55 39K 
[   ]sentinel-proxy-dev-2.1.0-r0.apk2023-12-14 17:55 4.9K 
[   ]sentinel-proxy-openrc-2.1.0-r0.apk2023-12-14 17:55 2.0K 
[   ]sentrypeer-3.0.2-r0.apk2023-12-23 09:03 24K 
[   ]sentrypeer-doc-3.0.2-r0.apk2023-12-23 09:03 3.1K 
[   ]serialdv-1.1.4-r1.apk2025-02-09 00:43 6.2K 
[   ]serialdv-dev-1.1.4-r1.apk2025-02-09 00:43 4.9K 
[   ]serialdv-libs-1.1.4-r1.apk2025-02-09 00:43 62K 
[   ]serie-0.4.3-r0.apk2025-02-02 00:02 883K 
[   ]serie-doc-0.4.3-r0.apk2025-02-02 00:02 6.1K 
[   ]setroot-2.0.2-r1.apk2022-10-25 15:04 11K 
[   ]setroot-doc-2.0.2-r1.apk2022-10-25 15:04 4.2K 
[   ]sflowtool-6.02-r0.apk2023-12-14 17:55 44K 
[   ]sflowtool-doc-6.02-r0.apk2023-12-14 17:55 9.1K 
[   ]sfwbar-1.0_beta16-r1.apk2024-12-12 20:03 273K 
[   ]sfwbar-doc-1.0_beta16-r1.apk2024-12-12 20:03 26K 
[   ]sgt-puzzles-0_git20230310-r2.apk2024-06-10 13:55 2.9M 
[   ]shadowsocks-libev-3.3.5-r4.apk2024-04-30 03:07 226K 
[   ]shadowsocks-libev-dev-3.3.5-r4.apk2024-04-30 03:07 3.2K 
[   ]shadowsocks-libev-doc-3.3.5-r4.apk2024-04-30 03:07 28K 
[   ]shc-4.0.3-r2.apk2024-09-29 17:31 19K 
[   ]shellinabox-2.21-r3.apk2023-05-21 14:09 119K 
[   ]shellinabox-doc-2.21-r3.apk2023-05-21 14:09 19K 
[   ]shellinabox-openrc-2.21-r3.apk2023-05-21 14:09 3.3K 
[   ]shfm-0.4.2-r1.apk2022-10-25 15:04 3.8K 
[   ]shfm-doc-0.4.2-r1.apk2022-10-25 15:04 5.9K 
[   ]shine-3.1.1-r0.apk2021-07-27 10:12 67K 
[   ]shipments-0.3.0-r0.apk2022-10-07 08:50 23K 
[   ]shntool-3.0.10-r4.apk2022-10-13 06:22 59K 
[   ]shntool-doc-3.0.10-r4.apk2022-10-13 06:22 10K 
[   ]shutdown-clear-machine-id-1.0.0-r0.apk2023-08-21 13:43 1.5K 
[   ]sigma-0.23.1-r1.apk2024-04-30 03:07 236K 
[   ]sigma-pyc-0.23.1-r1.apk2024-04-30 03:07 340K 
[   ]sigrok-cli-0.7.2-r0.apk2022-10-07 08:50 36K 
[   ]sigrok-cli-doc-0.7.2-r0.apk2022-10-07 08:50 7.7K 
[   ]sigrok-firmware-fx2lafw-bin-0.1.7-r0.apk2021-07-27 10:12 13K 
[   ]silc-client-1.1.11-r17.apk2024-06-10 13:55 879K 
[   ]silc-client-doc-1.1.11-r17.apk2024-06-10 13:55 83K 
[   ]simh-3.11.1-r1.apk2022-10-25 15:04 2.7M 
[   ]simpleble-0.6.1-r2.apk2024-12-14 23:22 940  
[   ]simpleble-dev-0.6.1-r2.apk2024-12-14 23:22 19K 
[   ]sing-box-1.11.0-r0.apk2025-02-04 07:37 11M 
[   ]sing-box-bash-completion-1.11.0-r0.apk2025-02-04 07:37 4.8K 
[   ]sing-box-fish-completion-1.11.0-r0.apk2025-02-04 07:37 4.0K 
[   ]sing-box-openrc-1.11.0-r0.apk2025-02-04 07:37 1.8K 
[   ]sing-box-zsh-completion-1.11.0-r0.apk2025-02-04 07:37 3.7K 
[   ]sing-geoip-20250112-r0.apk2025-01-16 00:26 1.6M 
[   ]sing-geosite-20250124154827-r0.apk2025-02-04 07:37 1.1M 
[   ]singular-4.4.1-r0.apk2025-02-06 06:25 10M 
[   ]singular-dev-4.4.1-r0.apk2025-02-06 06:25 363K 
[   ]singular-doc-4.4.1-r0.apk2025-02-06 06:25 1.3M 
[   ]singular-emacs-4.4.1-r0.apk2025-02-06 06:25 101K 
[   ]singular-static-4.4.1-r0.apk2025-02-06 06:25 11M 
[   ]sipexer-1.1.0-r9.apk2025-01-20 06:38 2.4M 
[   ]sipgrep-2.2.0-r1.apk2024-09-25 16:50 25K 
[   ]slidge-0.1.3-r0.apk2024-06-14 05:22 144K 
[   ]slidge-doc-0.1.3-r0.apk2024-06-14 05:22 4.3K 
[   ]slidge-matridge-0.1.0-r0.apk2024-06-14 05:22 29K 
[   ]slidge-matridge-openrc-0.1.0-r0.apk2024-06-14 05:22 1.3K 
[   ]slidge-matridge-pyc-0.1.0-r0.apk2024-06-14 05:22 38K 
[   ]slidge-openrc-0.1.3-r0.apk2024-06-14 05:22 2.1K 
[   ]slidge-pyc-0.1.3-r0.apk2024-06-14 05:22 285K 
[   ]sloccount-2.26-r3.apk2022-01-18 21:37 57K 
[   ]sloccount-doc-2.26-r3.apk2022-01-18 21:37 59K 
[   ]slurm-0.4.4-r0.apk2022-05-02 02:15 13K 
[   ]slurm-doc-0.4.4-r0.apk2022-05-02 02:15 2.3K 
[   ]smassh-3.1.6-r0.apk2024-11-21 03:57 72K 
[   ]smassh-pyc-3.1.6-r0.apk2024-11-21 03:57 70K 
[   ]smile-2.9.5-r0.apk2024-06-23 22:58 693K 
[   ]smile-lang-2.9.5-r0.apk2024-06-23 22:58 23K 
[   ]smplxmpp-0.9.3-r4.apk2024-12-18 13:21 150K 
[   ]smplxmpp-doc-0.9.3-r4.apk2024-12-18 13:21 25K 
[   ]snapper-0.12.0-r0.apk2024-11-18 21:26 1.0M 
[   ]snapper-bash-completion-0.12.0-r0.apk2024-11-18 21:26 2.8K 
[   ]snapper-dev-0.12.0-r0.apk2024-11-18 21:26 10K 
[   ]snapper-doc-0.12.0-r0.apk2024-11-18 21:26 25K 
[   ]snapper-lang-0.12.0-r0.apk2024-11-18 21:26 180K 
[   ]snapper-zsh-completion-0.12.0-r0.apk2024-11-18 21:26 3.3K 
[   ]snapraid-12.3-r0.apk2024-01-26 04:38 269K 
[   ]snapraid-doc-12.3-r0.apk2024-01-26 04:38 17K 
[   ]sndfile-tools-1.5-r1.apk2023-07-30 14:12 39K 
[   ]sndfile-tools-doc-1.5-r1.apk2023-07-30 14:12 361K 
[   ]snippets-ls-0.0.4_git20240617-r1.apk2025-01-20 06:38 1.3M 
[   ]snore-0.3.1-r0.apk2023-12-14 17:55 4.2K 
[   ]snore-doc-0.3.1-r0.apk2023-12-14 17:55 2.8K 
[   ]so-0.4.10-r0.apk2024-08-29 12:07 2.0M 
[   ]soapy-bladerf-0.4.2-r0.apk2025-01-01 03:42 48K 
[   ]soapy-hackrf-0.3.4-r2.apk2023-07-30 14:12 28K 
[   ]soapy-sdr-remote-0.5.2-r1.apk2023-07-30 14:12 200K 
[   ]soapy-sdr-remote-doc-0.5.2-r1.apk2023-07-30 14:12 2.1K 
[   ]soapy-sdr-remote-openrc-0.5.2-r1.apk2023-07-30 14:12 1.5K 
[   ]somebar-1.0.3-r0.apk2023-06-04 20:00 46K 
[   ]somebar-doc-1.0.3-r0.apk2023-06-04 20:00 2.2K 
[   ]sopwith-2.5.0-r0.apk2024-05-07 10:33 51K 
[   ]sopwith-doc-2.5.0-r0.apk2024-05-07 10:33 15K 
[   ]soqt-1.6.3-r0.apk2024-12-13 22:23 230K 
[   ]soqt-dev-1.6.3-r0.apk2024-12-13 22:23 84K 
[   ]soqt-doc-1.6.3-r0.apk2024-12-13 22:23 881K 
[   ]sos-0.8-r27.apk2025-01-20 06:38 2.4M 
[   ]soundconverter-4.0.6-r0.apk2024-11-12 17:10 164K 
[   ]soundconverter-doc-4.0.6-r0.apk2024-11-12 17:10 4.2K 
[   ]soundconverter-lang-4.0.6-r0.apk2024-11-12 17:10 107K 
[   ]soundconverter-pyc-4.0.6-r0.apk2024-11-12 17:10 75K 
[   ]soundfont-vintage-dreams-waves-2.1-r2.apk2024-08-17 00:32 91K 
[   ]soundfont-vintage-dreams-waves-doc-2.1-r2.apk2024-08-17 00:32 1.9K 
[   ]spacectl-1.0.0-r2.apk2025-01-20 06:38 4.8M 
[   ]spacectl-bash-completion-1.0.0-r2.apk2025-01-20 06:38 1.7K 
[   ]spacectl-doc-1.0.0-r2.apk2025-01-20 06:38 2.0K 
[   ]spacectl-fish-completion-1.0.0-r2.apk2025-01-20 06:38 5.7K 
[   ]spacectl-zsh-completion-1.0.0-r2.apk2025-01-20 06:38 1.5K 
[   ]spacenavd-1.2-r0.apk2023-02-25 15:09 30K 
[   ]spacer-0.3.0-r0.apk2024-12-26 05:50 962K 
[   ]spacer-doc-0.3.0-r0.apk2024-12-26 05:50 2.8K 
[   ]spampd-2.61-r1.apk2022-10-07 08:50 38K 
[   ]spampd-openrc-2.61-r1.apk2022-10-07 08:50 1.8K 
[   ]speedcrunch-0.12-r3.apk2023-02-17 07:47 1.1M 
[   ]speedtest-5.2.5-r1.apk2023-09-04 14:07 253K 
[   ]speedtest-doc-5.2.5-r1.apk2023-09-04 14:07 18K 
[   ]speedtest-examples-5.2.5-r1.apk2023-09-04 14:07 13K 
[   ]speedtest-go-1.1.5-r11.apk2025-01-20 06:38 5.0M 
[   ]speedtest-go-doc-1.1.5-r11.apk2025-01-20 06:38 4.2K 
[   ]speedtest-go-openrc-1.1.5-r11.apk2025-01-20 06:38 1.4K 
[   ]spice-html5-0.3.0-r1.apk2021-09-13 21:46 438K 
[   ]spiped-1.6.2-r1.apk2024-09-29 17:31 70K 
[   ]spiritvnc-0.6.5-r0.apk2024-11-03 06:10 47K 
[   ]spnavcfg-1.1-r0.apk2023-02-13 07:58 37K 
[   ]spread-sheet-widget-0.10-r0.apk2024-10-05 09:31 45K 
[   ]spread-sheet-widget-dbg-0.10-r0.apk2024-10-05 09:31 187K 
[   ]spread-sheet-widget-dev-0.10-r0.apk2024-10-05 09:31 680K 
[   ]spread-sheet-widget-doc-0.10-r0.apk2024-10-05 09:31 4.4K 
[   ]spvm-errno-0.093-r0.apk2025-01-23 09:26 17K 
[   ]spvm-errno-doc-0.093-r0.apk2025-01-23 09:26 5.6K 
[   ]spvm-math-1.006-r0.apk2025-02-04 08:33 20K 
[   ]spvm-math-doc-1.006-r0.apk2025-02-04 08:33 6.6K 
[   ]spvm-mime-base64-1.003-r0.apk2025-02-04 08:33 14K 
[   ]spvm-mime-base64-doc-1.003-r0.apk2025-02-04 08:33 5.1K 
[   ]spvm-thread-0.003-r0.apk2025-02-04 08:33 11K 
[   ]spvm-thread-doc-0.003-r0.apk2025-02-04 08:33 5.5K 
[   ]sq-0.48.5-r0.apk2025-01-20 17:41 10M 
[   ]sq-bash-completion-0.48.5-r0.apk2025-01-20 17:41 4.7K 
[   ]sq-doc-0.48.5-r0.apk2025-01-20 17:41 5.6K 
[   ]sq-fish-completion-0.48.5-r0.apk2025-01-20 17:41 4.0K 
[   ]sq-zsh-completion-0.48.5-r0.apk2025-01-20 17:41 3.7K 
[   ]sqawk-0.24.0-r0.apk2024-05-26 14:29 14K 
[   ]sqawk-doc-0.24.0-r0.apk2024-05-26 14:29 113K 
[   ]sqlar-0_git20180107-r1.apk2022-10-25 15:04 10K 
[   ]sqlar-doc-0_git20180107-r1.apk2022-10-25 15:04 3.0K 
[   ]sqliteodbc-0.99991-r0.apk2023-12-23 09:03 88K 
[   ]sqlmap-1.9-r0.apk2025-01-13 23:52 6.8M 
[   ]sqlmap-pyc-1.9-r0.apk2025-01-13 23:52 1.2M 
[   ]sqm-scripts-1.6.0-r0.apk2023-08-22 22:47 20K 
[   ]srain-1.8.0-r0.apk2024-11-18 21:59 164K 
[   ]srain-lang-1.8.0-r0.apk2024-11-18 21:59 35K 
[   ]srb2-2.2.13-r0.apk2023-12-14 17:55 1.8M 
[   ]srb2-data-2.2.13-r0.apk2023-12-14 17:55 138M 
[   ]sregex-0.0.1-r1.apk2022-10-25 15:04 18K 
[   ]sregex-dev-0.0.1-r1.apk2022-10-25 15:04 22K 
[   ]ssdfs-tools-4.09-r0.apk2023-03-10 12:49 93K 
[   ]ssdfs-tools-dev-4.09-r0.apk2023-03-10 12:49 18K 
[   ]ssh-cert-authority-2.0.0-r22.apk2025-01-20 06:38 4.5M 
[   ]ssh-honeypot-0.1.1-r1.apk2023-05-21 14:09 8.6K 
[   ]ssh-honeypot-openrc-0.1.1-r1.apk2023-05-21 14:09 1.8K 
[   ]ssh-tools-1.8-r0.apk2024-03-16 22:31 25K 
[   ]sshs-4.6.1-r0.apk2025-01-23 21:06 689K 
[   ]sshsrv-1.0-r8.apk2025-01-20 06:38 931K 
[   ]sshuttle-1.1.2-r0.apk2024-06-12 03:20 62K 
[   ]sshuttle-doc-1.1.2-r0.apk2024-06-12 03:20 8.2K 
[   ]sshuttle-pyc-1.1.2-r0.apk2024-06-12 03:20 100K 
[   ]ssss-0.5.7-r0.apk2021-10-29 08:49 11K 
[   ]ssss-doc-0.5.7-r0.apk2021-10-29 08:49 3.3K 
[   ]sstp-client-1.0.20-r1.apk2024-12-01 16:45 44K 
[   ]sstp-client-doc-1.0.20-r1.apk2024-12-01 16:45 4.5K 
[   ]stacker-1.0.0-r1.apk2025-01-20 06:38 23M 
[   ]stacker-doc-1.0.0-r1.apk2025-01-20 06:38 14K 
[   ]stardict-3.0.6-r6.apk2023-05-01 23:45 953K 
[   ]stardict-doc-3.0.6-r6.apk2023-05-01 23:45 2.0K 
[   ]stardict-help-3.0.6-r6.apk2023-05-01 23:45 3.4M 
[   ]stardict-lang-3.0.6-r6.apk2023-05-01 23:45 289K 
[   ]starfighter-2.4-r0.apk2023-08-19 21:23 48M 
[   ]starfighter-doc-2.4-r0.apk2023-08-19 21:23 21K 
[   ]startup-2.0.3-r5.apk2024-09-09 12:26 435K 
[   ]startup-bridge-dconf-2.0.3-r5.apk2024-09-09 12:26 32K 
[   ]startup-bridge-udev-2.0.3-r5.apk2024-09-09 12:26 32K 
[   ]startup-dev-2.0.3-r5.apk2024-09-09 12:26 5.6K 
[   ]startup-doc-2.0.3-r5.apk2024-09-09 12:26 47K 
[   ]startup-fish-completion-2.0.3-r5.apk2024-09-09 12:26 5.1K 
[   ]startup-lang-2.0.3-r5.apk2024-09-09 12:26 16K 
[   ]startup-tools-2.0.3-r5.apk2024-09-09 12:26 13K 
[   ]stayrtr-0.6.1-r1.apk2025-01-20 06:38 9.3M 
[   ]stayrtr-openrc-0.6.1-r1.apk2025-01-20 06:38 1.7K 
[   ]steghide-0.5.1.1-r0.apk2024-04-30 03:07 137K 
[   ]steghide-doc-0.5.1.1-r0.apk2024-04-30 03:07 13K 
[   ]stern-1.32.0-r0.apk2025-02-06 00:09 17M 
[   ]stern-bash-completion-1.32.0-r0.apk2025-02-06 00:09 5.5K 
[   ]stern-fish-completion-1.32.0-r0.apk2025-02-06 00:09 4.0K 
[   ]stern-zsh-completion-1.32.0-r0.apk2025-02-06 00:09 3.7K 
[   ]sthttpd-2.27.1-r2.apk2022-10-25 15:04 52K 
[   ]sthttpd-doc-2.27.1-r2.apk2022-10-25 15:04 18K 
[   ]sthttpd-openrc-2.27.1-r2.apk2022-10-25 15:04 1.7K 
[   ]stockfish-16-r0.apk2023-07-06 19:21 33M 
[   ]stone-soup-0.32.1-r0.apk2024-09-26 09:38 33M 
[   ]strfry-1.0.3-r0.apk2024-12-27 17:06 2.9M 
[   ]strfry-openrc-1.0.3-r0.apk2024-12-27 17:06 1.7K 
[   ]stw-0.3-r0.apk2023-03-08 00:50 6.7K 
[   ]stw-doc-0.3-r0.apk2023-03-08 00:50 2.3K 
[   ]subdl-0_git20230616-r1.apk2024-04-30 03:07 8.4K 
[   ]subdl-pyc-0_git20230616-r1.apk2024-04-30 03:07 14K 
[   ]sublime-music-0.12.0-r1.apk2024-04-30 03:07 189K 
[   ]sublime-music-pyc-0.12.0-r1.apk2024-04-30 03:07 302K 
[   ]subliminal-2.2.1-r0.apk2024-10-16 00:12 68K 
[   ]subliminal-pyc-2.2.1-r0.apk2024-10-16 00:12 134K 
[   ]sudo-ldap-1.9.14-r1.apk2023-07-30 14:12 703K 
[   ]supersonik-0.1.0-r1.apk2024-12-22 19:37 1.0M 
[   ]surf-2.1-r3.apk2024-05-12 02:19 21K 
[   ]surf-doc-2.1-r3.apk2024-05-12 02:19 4.4K 
[   ]surfraw-2.3.0-r0.apk2023-08-19 21:23 78K 
[   ]surfraw-doc-2.3.0-r0.apk2023-08-19 21:23 17K 
[   ]suru-icon-theme-2024.10.13-r0.apk2025-01-26 01:21 2.9M 
[   ]svls-0.2.12-r0.apk2024-06-13 06:48 3.4M 
[   ]svls-doc-0.2.12-r0.apk2024-06-13 06:48 2.0K 
[   ]swaks-20240103.0-r0.apk2024-01-08 09:07 66K 
[   ]swaks-doc-20240103.0-r0.apk2024-01-08 09:07 49K 
[   ]swappy-1.5.1-r0.apk2022-11-21 23:47 26K 
[   ]swappy-doc-1.5.1-r0.apk2022-11-21 23:47 3.4K 
[   ]swappy-lang-1.5.1-r0.apk2022-11-21 23:47 3.4K 
[   ]sway-audio-idle-inhibit-0.1.2-r0.apk2024-10-03 09:22 9.5K 
[   ]swhkd-1.2.1-r0.apk2024-10-02 00:19 1.0M 
[   ]swhkd-doc-1.2.1-r0.apk2024-10-02 00:19 5.9K 
[   ]swig3-3.0.12-r3.apk2024-04-30 03:07 1.3M 
[   ]swig3-doc-3.0.12-r3.apk2024-04-30 03:07 3.4K 
[   ]sxcs-1.1.0-r0.apk2024-06-06 23:03 8.0K 
[   ]sxcs-doc-1.1.0-r0.apk2024-06-06 23:03 2.4K 
[   ]sydbox-3.21.3-r0.apk2024-06-12 13:36 1.4M 
[   ]sydbox-doc-3.21.3-r0.apk2024-06-12 13:36 84K 
[   ]sydbox-oci-3.21.3-r0.apk2024-06-12 13:36 1.8M 
[   ]sydbox-utils-3.21.3-r0.apk2024-06-12 13:36 6.0M 
[   ]sydbox-vim-3.21.3-r0.apk2024-06-12 13:36 5.0K 
[   ]sylpheed-imap-notify-1.1.0-r2.apk2024-09-26 23:36 8.0K 
[   ]symengine-0.12.0-r0.apk2024-06-30 21:18 6.2M 
[   ]sympow-2.023.7-r2.apk2024-09-07 06:16 1.8M 
[   ]sympow-doc-2.023.7-r2.apk2024-09-07 06:16 2.8K 
[   ]syncthing-gtk-0.9.4.5-r2.apk2024-08-08 20:23 440K 
[   ]syncthing-gtk-doc-0.9.4.5-r2.apk2024-08-08 20:23 1.9K 
[   ]syncthing-gtk-pyc-0.9.4.5-r2.apk2024-08-08 20:23 220K 
[   ]t2sz-1.1.2-r0.apk2023-04-20 00:32 7.9K 
[   ]tabby-3.1-r1.apk2023-12-14 17:55 31K 
[   ]tabby-doc-3.1-r1.apk2023-12-14 17:55 2.0K 
[   ]tachyon-0.99_beta6-r1.apk2024-03-29 12:33 105K 
[   ]tachyon-scenes-0.99_beta6-r1.apk2024-03-29 12:33 1.9M 
[   ]tailspin-3.0.0-r0.apk2024-02-03 23:43 1.1M 
[   ]tailspin-bash-completion-3.0.0-r0.apk2024-02-03 23:43 2.0K 
[   ]tailspin-doc-3.0.0-r0.apk2024-02-03 23:43 2.4K 
[   ]tailspin-fish-completion-3.0.0-r0.apk2024-02-03 23:43 1.8K 
[   ]tailspin-zsh-completion-3.0.0-r0.apk2024-02-03 23:43 2.2K 
[   ]tang-15-r0.apk2025-01-20 06:38 16K 
[   ]tang-dbg-15-r0.apk2025-01-20 06:38 30K 
[   ]tang-doc-15-r0.apk2025-01-20 06:38 20K 
[   ]tang-openrc-15-r0.apk2025-01-20 06:38 1.6K 
[   ]tangara-companion-0.4.3-r0.apk2024-12-17 22:49 1.0M 
[   ]tangctl-0_git20241007-r0.apk2025-01-20 07:33 2.3M 
[   ]tanidvr-1.4.1-r1.apk2022-10-14 21:59 23K 
[   ]tanidvr-dhav2mkv-1.4.1-r1.apk2022-10-14 21:59 13K 
[   ]tanka-0.31.2-r0.apk2025-02-06 00:09 4.0M 
[   ]tartube-2.5.0-r1.apk2024-08-07 17:51 2.7M 
[   ]tartube-pyc-2.5.0-r1.apk2024-08-07 17:51 1.1M 
[   ]tayga-0.9.2-r0.apk2023-01-23 08:29 21K 
[   ]tayga-doc-0.9.2-r0.apk2023-01-23 08:29 5.3K 
[   ]tcc-0.9.27_git20250106-r0.apk2025-02-02 04:03 9.8K 
[   ]tcc-dev-0.9.27_git20250106-r0.apk2025-02-02 04:03 63K 
[   ]tcc-doc-0.9.27_git20250106-r0.apk2025-02-02 04:03 50K 
[   ]tcc-libs-0.9.27_git20250106-r0.apk2025-02-02 04:03 155K 
[   ]tcc-libs-static-0.9.27_git20250106-r0.apk2025-02-02 04:03 15K 
[   ]tcl-curl-7.22.0-r0.apk2023-01-18 22:04 28K 
[   ]tcl-curl-doc-7.22.0-r0.apk2023-01-18 22:04 37K 
[   ]tcl9-9.0.1-r0.apk2024-12-22 07:35 1.8M 
[   ]tcl9-dev-9.0.1-r0.apk2024-12-22 07:35 185K 
[   ]tcl9-doc-9.0.1-r0.apk2024-12-22 07:35 1.4M 
[   ]tcmu-runner-1.6.0-r6.apk2024-10-13 20:32 83K 
[   ]tcmu-runner-doc-1.6.0-r6.apk2024-10-13 20:32 2.3K 
[   ]tcmu-runner-rbd-1.6.0-r6.apk2024-10-13 20:32 12K 
[   ]tdrop-0.5.0-r0.apk2022-05-07 01:03 12K 
[   ]tdrop-doc-0.5.0-r0.apk2022-05-07 01:03 8.9K 
[   ]tealdeer-1.7.1-r0.apk2024-12-14 20:05 857K 
[   ]tealdeer-bash-completion-1.7.1-r0.apk2024-12-14 20:05 1.7K 
[   ]tealdeer-fish-completion-1.7.1-r0.apk2024-12-14 20:05 2.0K 
[   ]tealdeer-zsh-completion-1.7.1-r0.apk2024-12-14 20:05 2.1K 
[   ]telegram-bot-api-8.2-r0.apk2025-01-03 20:06 7.8M 
[   ]telegram-tdlib-1.8.42-r0.apk2025-01-03 20:47 7.9M 
[   ]telegram-tdlib-dev-1.8.42-r0.apk2025-01-03 20:47 177K 
[   ]telegram-tdlib-static-1.8.42-r0.apk2025-01-03 20:47 32M 
[   ]templ-0.3.833-r0.apk2025-02-06 03:27 4.4M 
[   ]tenv-3.2.4-r3.apk2025-01-20 06:38 8.6M 
[   ]tenv-bash-completion-3.2.4-r3.apk2025-01-20 06:38 4.7K 
[   ]tenv-fish-completion-3.2.4-r3.apk2025-01-20 06:38 4.0K 
[   ]tenv-zsh-completion-3.2.4-r3.apk2025-01-20 06:38 3.7K 
[   ]tere-1.6.0-r0.apk2024-09-16 13:50 1.0M 
[   ]tere-doc-1.6.0-r0.apk2024-09-16 13:50 14K 
[   ]termbox-1.1.2-r1.apk2023-05-21 14:09 12K 
[   ]termbox-dev-1.1.2-r1.apk2023-05-21 14:09 5.5K 
[   ]termbox-static-1.1.2-r1.apk2023-05-21 14:09 21K 
[   ]termcolor-2.1.0-r0.apk2022-10-28 16:12 1.2K 
[   ]termcolor-dev-2.1.0-r0.apk2022-10-28 16:12 6.6K 
[   ]terminalpp-0.8.4-r0.apk2022-10-13 06:22 398K 
[   ]terminalpp-ropen-0.8.4-r0.apk2022-10-13 06:22 57K 
[   ]tfupdate-0.8.2-r2.apk2025-01-20 06:38 4.4M 
[   ]tfupdate-doc-0.8.2-r2.apk2025-01-20 06:38 1.9K 
[   ]theforceengine-1.09.540-r1.apk2024-03-03 08:17 6.9M 
[   ]theforceengine-doc-1.09.540-r1.apk2024-03-03 08:17 6.3M 
[   ]thefuck-3.32-r5.apk2024-08-31 17:07 83K 
[   ]thefuck-pyc-3.32-r5.apk2024-08-31 17:07 155K 
[   ]theme.sh-1.1.5-r0.apk2023-09-05 11:48 39K 
[   ]theme.sh-doc-1.1.5-r0.apk2023-09-05 11:48 2.1K 
[   ]throttled-0.10.0-r1.apk2024-12-15 20:23 14K 
[   ]throttled-openrc-0.10.0-r1.apk2024-12-15 20:23 1.3K 
[   ]throttled-pyc-0.10.0-r1.apk2024-12-15 20:23 28K 
[   ]thumbdrives-0.3.2-r2.apk2024-05-07 10:33 11K 
[   ]thunarx-python-0.5.2-r2.apk2024-04-30 03:07 9.3K 
[   ]thunarx-python-doc-0.5.2-r2.apk2024-04-30 03:07 25K 
[   ]tic-80-1.1.2837-r5.apk2024-12-28 00:33 14M 
[   ]tick-1.2.2-r0.apk2025-01-14 02:26 10K 
[   ]tick-doc-1.2.2-r0.apk2025-01-14 02:26 5.2K 
[   ]ticker-4.8.0-r0.apk2025-02-06 03:27 3.6M 
[   ]ticker-bash-completion-4.8.0-r0.apk2025-02-06 03:27 4.3K 
[   ]ticker-fish-completion-4.8.0-r0.apk2025-02-06 03:27 3.6K 
[   ]ticker-zsh-completion-4.8.0-r0.apk2025-02-06 03:27 3.4K 
[   ]timeshift-24.06.5-r0.apk2024-12-05 21:48 449K 
[   ]timeshift-doc-24.06.5-r0.apk2024-12-05 21:48 2.8K 
[   ]timeshift-lang-24.06.5-r0.apk2024-12-05 21:48 916K 
[   ]timew-1.4.3-r1.apk2022-10-25 15:04 259K 
[   ]timew-bash-completion-1.4.3-r1.apk2022-10-25 15:04 2.5K 
[   ]timew-doc-1.4.3-r1.apk2022-10-25 15:04 53K 
[   ]timewarrior-1.7.1-r0.apk2024-01-17 23:58 269K 
[   ]timewarrior-doc-1.7.1-r0.apk2024-01-17 23:58 22K 
[   ]timoni-0.23.0-r1.apk2025-01-20 06:38 22M 
[   ]timoni-bash-completion-0.23.0-r1.apk2025-01-20 06:38 7.6K 
[   ]timoni-doc-0.23.0-r1.apk2025-01-20 06:38 338K 
[   ]timoni-fish-completion-0.23.0-r1.apk2025-01-20 06:38 4.0K 
[   ]timoni-zsh-completion-0.23.0-r1.apk2025-01-20 06:38 3.7K 
[   ]tintin-2.02.31-r0.apk2023-08-26 18:31 1.9M 
[   ]tiny-0.13.0-r0.apk2025-01-01 19:17 553K 
[   ]tiny-doc-0.13.0-r0.apk2025-01-01 19:17 5.2K 
[   ]tinycbor-0.6.0-r1.apk2022-11-13 21:52 13K 
[   ]tinycbor-dev-0.6.0-r1.apk2022-11-13 21:52 8.1K 
[   ]tinygltf-2.9.4-r0.apk2025-01-20 06:38 146K 
[   ]tinygltf-dev-2.9.4-r0.apk2025-01-20 06:38 57K 
[   ]tinyscheme-1.42-r1.apk2022-10-14 21:59 50K 
[   ]tk9-9.0.1-r0.apk2024-12-22 07:35 848K 
[   ]tk9-dev-9.0.1-r0.apk2024-12-22 07:35 82K 
[   ]tk9-doc-9.0.1-r0.apk2024-12-22 07:35 1.3M 
[   ]tldr-python-client-3.3.0-r0.apk2024-12-01 18:09 12K 
[   ]tldr-python-client-doc-3.3.0-r0.apk2024-12-01 18:09 3.2K 
[   ]tldr-python-client-pyc-3.3.0-r0.apk2024-12-01 18:09 14K 
[   ]tmate-2.4.0-r4.apk2023-03-08 00:50 229K 
[   ]tmate-doc-2.4.0-r4.apk2023-03-08 00:50 71K 
[   ]tmpl-0.4.0-r7.apk2025-01-20 06:38 2.3M 
[   ]tmpl-doc-0.4.0-r7.apk2025-01-20 06:38 1.9K 
[   ]tmpmail-1.2.3-r2.apk2023-12-14 17:55 6.8K 
[   ]tmpmail-doc-1.2.3-r2.apk2023-12-14 17:55 3.0K 
[   ]tmux-resurrect-4.0.0-r0.apk2022-10-07 08:50 14K 
[   ]tmux-resurrect-doc-4.0.0-r0.apk2022-10-07 08:50 8.1K 
[   ]tncattach-0.1.9-r1.apk2022-10-25 15:04 20K 
[   ]tncattach-doc-0.1.9-r1.apk2022-10-25 15:04 3.7K 
[   ]tnef-1.4.18-r0.apk2024-03-30 18:39 25K 
[   ]tnef-doc-1.4.18-r0.apk2024-03-30 18:39 4.0K 
[   ]toapk-1.0-r0.apk2023-12-14 17:55 10K 
[   ]today-6.2.0-r0.apk2024-06-17 00:40 2.9K 
[   ]today-doc-6.2.0-r0.apk2024-06-17 00:40 3.0K 
[   ]toml2json-1.3.1-r0.apk2023-08-19 21:23 345K 
[   ]toml2json-doc-1.3.1-r0.apk2023-08-19 21:23 3.0K 
[   ]tonutils-reverse-proxy-0.3.3-r1.apk2025-01-20 06:38 2.6M 
[   ]tonutils-reverse-proxy-doc-0.3.3-r1.apk2025-01-20 06:38 2.1K 
[   ]tootik-0.15.2-r0.apk2025-02-03 05:52 3.6M 
[   ]tootik-openrc-0.15.2-r0.apk2025-02-03 05:52 2.8K 
[   ]topgit-0.19.13-r1.apk2022-10-25 15:04 126K 
[   ]topgit-bash-completion-0.19.13-r1.apk2022-10-25 15:04 3.8K 
[   ]topgit-doc-0.19.13-r1.apk2022-10-25 15:04 73K 
[   ]torrent-file-editor-0.3.18-r0.apk2023-06-28 01:01 361K 
[   ]toss-1.1-r0.apk2022-03-01 20:45 10K 
[   ]toybox-0.8.11-r1.apk2024-09-29 17:31 290K 
[   ]tpm2-pkcs11-1.9.1-r0.apk2024-09-26 01:29 133K 
[   ]tpm2-pkcs11-dev-1.9.1-r0.apk2024-09-26 01:29 1.6K 
[   ]tpm2-pkcs11-pyc-1.9.1-r0.apk2024-09-26 01:29 69K 
[   ]tpp-bypass-0.8.4-r0.apk2022-10-13 06:22 12K 
[   ]trace-cmd-3.3.1-r1.apk2025-01-20 06:38 164K 
[   ]trace-cmd-bash-completion-3.3.1-r1.apk2025-01-20 06:38 3.1K 
[   ]trace-cmd-dbg-3.3.1-r1.apk2025-01-20 06:38 483K 
[   ]trace-cmd-doc-3.3.1-r1.apk2025-01-20 06:38 171K 
[   ]transito-0.9.1-r1.apk2025-01-20 06:38 8.0M 
[   ]transito-doc-0.9.1-r1.apk2025-01-20 06:38 755K 
[   ]transmission-remote-gtk-1.6.0-r0.apk2023-06-20 18:09 145K 
[   ]transmission-remote-gtk-doc-1.6.0-r0.apk2023-06-20 18:09 4.0K 
[   ]transmission-remote-gtk-lang-1.6.0-r0.apk2023-06-20 18:09 106K 
[   ]trantor-1.5.18-r0.apk2024-05-07 10:33 222K 
[   ]trantor-dev-1.5.18-r0.apk2024-05-07 10:33 34K 
[   ]trantor-doc-1.5.18-r0.apk2024-05-07 10:33 2.4K 
[   ]tre-0.8.0-r2.apk2023-05-21 14:09 27K 
[   ]tre-dev-0.8.0-r2.apk2023-05-21 14:09 4.9K 
[   ]tre-static-0.8.0-r2.apk2023-05-21 14:09 52K 
[   ]tree-sitter-c-sharp-0.23.1-r0.apk2024-11-13 01:56 348K 
[   ]tree-sitter-caddy-0_git20230322-r0.apk2023-12-16 03:06 77K 
[   ]tree-sitter-caddy-doc-0_git20230322-r0.apk2023-12-16 03:06 2.0K 
[   ]tree-sitter-clojure-0.0.12-r0.apk2024-01-12 16:02 23K 
[   ]tree-sitter-dart-0_git20230123-r1.apk2023-12-14 17:55 93K 
[   ]tree-sitter-git-commit-0_git20211225-r2.apk2023-12-14 17:55 14K 
[   ]tree-sitter-git-diff-0_git20230730-r0.apk2023-12-14 17:55 10K 
[   ]tree-sitter-git-rebase-0_git20220110-r2.apk2023-12-14 17:55 7.1K 
[   ]tree-sitter-gleam-1.0.0-r0.apk2024-09-07 14:07 44K 
[   ]tree-sitter-hare-0_git20230616-r1.apk2023-12-14 17:55 35K 
[   ]tree-sitter-haskell-0.23.1-r0.apk2025-01-09 17:01 287K 
[   ]tree-sitter-hcl-1.1.0-r1.apk2023-12-14 17:55 46K 
[   ]tree-sitter-just-0_git20230318-r0.apk2023-12-23 09:03 15K 
[   ]tree-sitter-kotlin-0.3.8-r0.apk2024-08-08 18:38 322K 
[   ]tree-sitter-make-0_git20211216-r2.apk2023-12-14 17:55 43K 
[   ]tree-sitter-markdown-0.3.2-r0.apk2024-10-19 20:29 134K 
[   ]tree-sitter-markdown-doc-0.3.2-r0.apk2024-10-19 20:29 1.9K 
[   ]tree-sitter-nix-0.0.2-r0.apk2025-02-02 04:26 23K 
[   ]tree-sitter-nix-doc-0.0.2-r0.apk2025-02-02 04:26 1.9K 
[   ]tree-sitter-pascal-0.9.1-r0.apk2024-08-18 15:41 83K 
[   ]tree-sitter-pascal-doc-0.9.1-r0.apk2024-08-18 15:41 2.0K 
[   ]tree-sitter-query-0.4.0-r0.apk2025-01-10 21:33 11K 
[   ]tree-sitter-query-doc-0.4.0-r0.apk2025-01-10 21:33 5.7K 
[   ]tree-sitter-ron-0.2.0-r0.apk2024-10-19 22:25 31K 
[   ]tree-sitter-scheme-0.23.0-r0.apk2024-09-05 12:17 25K 
[   ]tree-sitter-scheme-doc-0.23.0-r0.apk2024-09-05 12:17 2.0K 
[   ]tree-sitter-ssh-client-config-2025.2.6-r0.apk2025-02-07 04:15 86K 
[   ]tree-sitter-vim-0.4.0-r0.apk2025-01-10 21:33 170K 
[   ]tree-sitter-vim-doc-0.4.0-r0.apk2025-01-10 21:33 2.4K 
[   ]tree-sitter-vimdoc-3.0.0-r2.apk2025-01-10 07:10 30K 
[   ]tree-sitter-vimdoc-doc-3.0.0-r2.apk2025-01-10 07:10 7.0K 
[   ]tree-sitter-xml-0.7.0-r0.apk2024-11-14 14:20 34K 
[   ]tree-sitter-xml-doc-0.7.0-r0.apk2024-11-14 14:20 1.9K 
[   ]treecat-1.0.2_git20240706-r1.apk2024-11-29 14:20 131K 
[   ]treecat-doc-1.0.2_git20240706-r1.apk2024-11-29 14:20 3.0K 
[   ]tremc-0.9.3-r0.apk2022-03-18 00:33 48K 
[   ]tremc-bash-completion-0.9.3-r0.apk2022-03-18 00:33 1.7K 
[   ]tremc-doc-0.9.3-r0.apk2022-03-18 00:33 2.6K 
[   ]tremc-zsh-completion-0.9.3-r0.apk2022-03-18 00:33 1.6K 
[   ]trigger-rally-0.6.7-r2.apk2024-01-02 10:37 311K 
[   ]trigger-rally-data-0.6.7-r2.apk2024-01-02 10:37 352M 
[   ]trigger-rally-doc-0.6.7-r2.apk2024-01-02 10:37 28K 
[   ]trippy-0.12.2-r0.apk2025-01-04 23:59 1.9M 
[   ]trippy-bash-completion-0.12.2-r0.apk2025-01-04 23:59 2.8K 
[   ]trippy-zsh-completion-0.12.2-r0.apk2025-01-04 23:59 4.4K 
[   ]trivy-0.59.1-r0.apk2025-02-08 01:05 61M 
[   ]tsung-1.8.0-r2.apk2023-12-19 10:11 730K 
[   ]ttfautohint-1.8.4-r0.apk2024-05-07 10:33 28K 
[   ]ttfautohint-dev-1.8.4-r0.apk2024-05-07 10:33 260K 
[   ]ttfautohint-doc-1.8.4-r0.apk2024-05-07 10:33 7.8K 
[   ]ttfautohint-gui-1.8.4-r0.apk2024-05-07 10:33 59K 
[   ]ttfautohint-libs-1.8.4-r0.apk2024-05-07 10:33 106K 
[   ]tty-clock-2.3_git20240104-r0.apk2024-01-19 05:11 8.0K 
[   ]tty-clock-doc-2.3_git20240104-r0.apk2024-01-19 05:11 2.9K 
[   ]tty-proxy-0.0.2-r24.apk2025-01-20 06:39 2.3M 
[   ]tty-share-2.4.0-r14.apk2025-01-20 06:39 3.3M 
[   ]ttyper-1.6.0-r0.apk2025-02-06 00:09 586K 
[   ]tui-journal-0.10.0-r0.apk2024-09-01 19:02 1.9M 
[   ]tui-journal-doc-0.10.0-r0.apk2024-09-01 19:02 6.6K 
[   ]tuned-2.24.1-r1.apk2025-01-27 16:49 153K 
[   ]tuned-bash-completion-2.24.1-r1.apk2025-01-27 16:49 1.6K 
[   ]tuned-doc-2.24.1-r1.apk2025-01-27 16:49 67K 
[   ]tuned-gtk-2.24.1-r1.apk2025-01-27 16:49 22K 
[   ]tuned-openrc-2.24.1-r1.apk2025-01-27 16:49 1.4K 
[   ]tuned-ppd-2.24.1-r1.apk2025-01-27 16:49 3.3K 
[   ]tuned-ppd-openrc-2.24.1-r1.apk2025-01-27 16:49 1.4K 
[   ]tuned-profiles-2.24.1-r1.apk2025-01-27 16:49 7.8K 
[   ]tuned-profiles-compat-2.24.1-r1.apk2025-01-27 16:49 3.3K 
[   ]tuned-pyc-2.24.1-r1.apk2025-01-27 16:49 319K 
[   ]tuned-utils-2.24.1-r1.apk2025-01-27 16:49 10K 
[   ]tup-0.7.11-r0.apk2023-03-08 00:50 205K 
[   ]tup-doc-0.7.11-r0.apk2023-03-08 00:50 21K 
[   ]tup-vim-0.7.11-r0.apk2023-03-08 00:50 2.3K 
[   ]tuptime-5.2.4-r1.apk2024-11-29 13:38 14K 
[   ]tuptime-doc-5.2.4-r1.apk2024-11-29 13:38 3.5K 
[   ]tuptime-openrc-5.2.4-r1.apk2024-11-29 13:38 1.4K 
[   ]turn-rs-3.3.3-r0.apk2025-01-07 09:07 542K 
[   ]turn-rs-doc-3.3.3-r0.apk2025-01-07 09:07 11K 
[   ]turn-rs-openrc-3.3.3-r0.apk2025-01-07 09:07 1.6K 
[   ]turnstile-0.1.10-r3.apk2024-09-16 23:06 37K 
[   ]turnstile-doc-0.1.10-r3.apk2024-09-16 23:06 5.4K 
[   ]turnstile-openrc-0.1.10-r3.apk2024-09-16 23:06 1.5K 
[   ]twemproxy-0.5.0-r0.apk2022-05-01 08:21 62K 
[   ]twemproxy-doc-0.5.0-r0.apk2022-05-01 08:21 17K 
[   ]twinkle-1.10.3-r3.apk2025-01-04 22:57 2.4M 
[   ]twinkle-doc-1.10.3-r3.apk2025-01-04 22:57 3.2K 
[   ]typos-1.23.2-r0.apk2024-07-16 23:14 3.0M 
[   ]typos-doc-1.23.2-r0.apk2024-07-16 23:14 5.9K 
[   ]typstyle-0.12.14-r0.apk2025-01-12 20:35 495K 
[   ]u-boot-spacemit-2022.10.2.0.4-r0.apk2024-12-19 21:35 927K 
[   ]u1db-qt-0.1.8-r0.apk2024-08-29 12:07 97K 
[   ]uasm-2.56.2-r0.apk2023-12-14 17:55 300K 
[   ]ubase-20200605-r3.apk2024-09-29 17:31 43K 
[   ]ubase-doc-20200605-r3.apk2024-09-29 17:31 21K 
[   ]ubuntu-archive-keyring-2023.11.28.1-r0.apk2023-12-14 17:55 16K 
[   ]ubuntu-archive-keyring-doc-2023.11.28.1-r0.apk2023-12-14 17:55 2.0K 
[   ]uclient-20210514-r0.apk2022-06-09 14:40 11K 
[   ]uclient-dev-20210514-r0.apk2022-06-09 14:40 3.2K 
[   ]uclient-fetch-20210514-r0.apk2022-06-09 14:40 8.6K 
[   ]udpt-3.1.2-r0.apk2023-12-19 06:47 698K 
[   ]udpt-openrc-3.1.2-r0.apk2023-12-19 06:47 1.5K 
[   ]ueberzug-18.2.3-r0.apk2024-05-26 14:29 64K 
[   ]ueberzug-pyc-18.2.3-r0.apk2024-05-26 14:29 64K 
[   ]undock-0.9.0-r1.apk2025-01-20 06:39 9.5M 
[   ]unicorn-2.0.1-r4.apk2024-04-30 03:07 6.8M 
[   ]unicorn-dev-2.0.1-r4.apk2024-04-30 03:07 15M 
[   ]unit-php81-1.34.1-r0.apk2025-01-11 21:05 31K 
[   ]up-0.4-r25.apk2025-01-20 06:39 1.1M 
[   ]upterm-0.14.3-r1.apk2025-01-20 06:39 5.6M 
[   ]upterm-bash-completion-0.14.3-r1.apk2025-01-20 06:39 5.2K 
[   ]upterm-doc-0.14.3-r1.apk2025-01-20 06:39 6.1K 
[   ]upterm-server-0.14.3-r1.apk2025-01-20 06:39 5.4M 
[   ]upterm-server-openrc-0.14.3-r1.apk2025-01-20 06:39 1.6K 
[   ]upterm-zsh-completion-0.14.3-r1.apk2025-01-20 06:39 3.7K 
[   ]urlwatch-2.28-r2.apk2024-08-07 03:51 48K 
[   ]urlwatch-doc-2.28-r2.apk2024-08-07 03:51 33K 
[   ]urlwatch-pyc-2.28-r2.apk2024-08-07 03:51 101K 
[   ]usbmuxd-1.1.1-r8.apk2024-10-30 23:46 33K 
[   ]usbmuxd-doc-1.1.1-r8.apk2024-10-30 23:46 2.7K 
[   ]ustr-1.0.4-r1.apk2023-05-21 14:09 56K 
[   ]ustr-debug-1.0.4-r1.apk2023-05-21 14:09 75K 
[   ]ustr-dev-1.0.4-r1.apk2023-05-21 14:09 91K 
[   ]ustr-doc-1.0.4-r1.apk2023-05-21 14:09 96K 
[   ]ustr-static-1.0.4-r1.apk2023-05-21 14:09 356K 
[   ]ustream-ssl-20220116-r1.apk2022-10-07 08:51 5.5K 
[   ]ustream-ssl-dev-20220116-r1.apk2022-10-07 08:51 2.3K 
[   ]uucp-1.07-r6.apk2024-10-04 06:34 494K 
[   ]uucp-doc-1.07-r6.apk2024-10-04 06:34 118K 
[   ]uxn-1.0-r0.apk2024-03-25 13:20 44K 
[   ]uxn-doc-1.0-r0.apk2024-03-25 13:20 3.9K 
[   ]uxplay-1.71.1-r0.apk2025-01-25 17:40 216K 
[   ]uxplay-doc-1.71.1-r0.apk2025-01-25 17:40 4.1K 
[   ]vals-0.39.0-r0.apk2025-02-06 03:27 27M 
[   ]varnish-modules-0.24.0-r0.apk2024-07-11 16:33 39K 
[   ]varnish-modules-doc-0.24.0-r0.apk2024-07-11 16:33 21K 
[   ]vbindiff-3.0_beta5-r1.apk2022-10-25 15:04 19K 
[   ]vbindiff-doc-3.0_beta5-r1.apk2022-10-25 15:04 5.2K 
[   ]vcdimager-2.0.1-r5.apk2025-01-22 11:34 480K 
[   ]vcdimager-dev-2.0.1-r5.apk2025-01-22 11:34 188K 
[   ]vcdimager-doc-2.0.1-r5.apk2025-01-22 11:34 74K 
[   ]vcmi-1.6.5-r0.apk2025-02-03 22:53 13M 
[   ]vcsh-2.0.5-r0.apk2023-06-09 00:46 8.5K 
[   ]vcsh-bash-completion-2.0.5-r0.apk2023-06-09 00:46 2.7K 
[   ]vcsh-doc-2.0.5-r0.apk2023-06-09 00:46 27K 
[   ]vcsh-zsh-completion-2.0.5-r0.apk2023-06-09 00:46 2.7K 
[   ]vcstool-0.3.0-r5.apk2024-04-30 03:07 35K 
[   ]vcstool-bash-completion-0.3.0-r5.apk2024-04-30 03:07 1.5K 
[   ]vcstool-pyc-0.3.0-r5.apk2024-04-30 03:07 57K 
[   ]vcstool-tcsh-completion-0.3.0-r5.apk2024-04-30 03:07 1.4K 
[   ]vcstool-zsh-completion-0.3.0-r5.apk2024-04-30 03:07 1.4K 
[   ]vector-0.39.0-r0.apk2024-06-29 21:19 19M 
[   ]vector-doc-0.39.0-r0.apk2024-06-29 21:19 5.5K 
[   ]vector-openrc-0.39.0-r0.apk2024-06-29 21:19 2.0K 
[   ]vectoroids-1.1.0-r2.apk2024-05-28 13:28 281K 
[   ]vectoroids-doc-1.1.0-r2.apk2024-05-28 13:28 2.0K 
[   ]venc-3.2.5-r0.apk2025-02-05 02:58 248K 
[   ]venc-pyc-3.2.5-r0.apk2025-02-05 02:58 126K 
[   ]vera++-1.3.0-r10.apk2024-04-30 03:07 253K 
[   ]vfd-configurations-0_git20230612-r0.apk2023-06-13 00:33 25K 
[   ]vice-3.8-r0.apk2024-01-12 00:07 13M 
[   ]vidcutter-6.0.5.3-r0.apk2024-09-27 23:11 2.8M 
[   ]vidcutter-doc-6.0.5.3-r0.apk2024-09-27 23:11 24K 
[   ]vidcutter-pyc-6.0.5.3-r0.apk2024-09-27 23:11 1.9M 
[   ]viewnior-1.8-r1.apk2023-06-15 15:05 72K 
[   ]viewnior-doc-1.8-r1.apk2023-06-15 15:05 1.8K 
[   ]viewnior-lang-1.8-r1.apk2023-06-15 15:05 85K 
[   ]vile-9.8z-r0.apk2024-01-26 04:38 2.1M 
[   ]vile-doc-9.8z-r0.apk2024-01-26 04:38 15K 
[   ]vim-airline-0.11-r0.apk2021-07-27 10:13 86K 
[   ]vim-airline-doc-0.11-r0.apk2021-07-27 10:13 12K 
[   ]vim-rust-305-r0.apk2021-07-27 10:13 20K 
[   ]virtctl-1.4.0-r1.apk2025-01-20 06:39 15M 
[   ]virtctl-bash-completion-1.4.0-r1.apk2025-01-20 06:39 4.8K 
[   ]virtctl-fish-completion-1.4.0-r1.apk2025-01-20 06:39 4.0K 
[   ]virtctl-zsh-completion-1.4.0-r1.apk2025-01-20 06:39 3.7K 
[   ]virter-0.28.1-r1.apk2025-01-20 06:39 5.4M 
[   ]virter-bash-completion-0.28.1-r1.apk2025-01-20 06:39 4.8K 
[   ]virter-doc-0.28.1-r1.apk2025-01-20 06:39 15K 
[   ]virter-fish-completion-0.28.1-r1.apk2025-01-20 06:39 4.0K 
[   ]virter-zsh-completion-0.28.1-r1.apk2025-01-20 06:39 3.7K 
[   ]virtme-ng-1.32-r1.apk2025-01-25 16:54 194K 
[   ]virtme-ng-bash-completion-1.32-r1.apk2025-01-25 16:54 2.4K 
[   ]visidata-2.11.1-r2.apk2024-04-30 03:07 248K 
[   ]visidata-doc-2.11.1-r2.apk2024-04-30 03:07 16K 
[   ]visidata-pyc-2.11.1-r2.apk2024-04-30 03:07 497K 
[   ]visidata-zsh-completion-2.11.1-r2.apk2024-04-30 03:07 6.9K 
[   ]vit-2.3.2-r1.apk2024-04-30 03:07 80K 
[   ]vit-pyc-2.3.2-r1.apk2024-04-30 03:07 151K 
[   ]vkbasalt-0.3.2.10-r0.apk2024-01-19 00:48 373K 
[   ]vkbasalt-doc-0.3.2.10-r0.apk2024-01-19 00:48 2.8K 
[   ]vlang-0.4.9-r0.apk2025-01-12 13:21 30M 
[   ]vmtouch-1.3.1-r0.apk2023-03-28 14:18 10K 
[   ]vmtouch-doc-1.3.1-r0.apk2023-03-28 14:18 7.8K 
[   ]voikko-fi-2.5-r0.apk2023-06-13 19:35 1.6M 
[   ]volatility3-2.8.0-r0.apk2024-12-05 18:18 496K 
[   ]volatility3-doc-2.8.0-r0.apk2024-12-05 18:18 2.8K 
[   ]volatility3-pyc-2.8.0-r0.apk2024-12-05 18:18 922K 
[   ]volumeicon-0.5.1-r1.apk2022-10-25 15:04 39K 
[   ]volumeicon-lang-0.5.1-r1.apk2022-10-25 15:04 3.5K 
[   ]vtable-dumper-1.2-r0.apk2025-01-20 06:39 5.5K 
[   ]vym-2.9.26-r0.apk2023-12-23 09:03 2.8M 
[   ]vym-doc-2.9.26-r0.apk2023-12-23 09:03 3.4M 
[   ]w_scan2-1.0.15-r0.apk2024-01-04 09:03 139K 
[   ]w_scan2-doc-1.0.15-r0.apk2024-01-04 09:03 3.9K 
[   ]wabt-1.0.36-r0.apk2024-08-29 12:07 4.7M 
[   ]wabt-doc-1.0.36-r0.apk2024-08-29 12:07 13K 
[   ]wakeonlan-0.42-r0.apk2024-06-26 10:05 4.2K 
[   ]wakeonlan-doc-0.42-r0.apk2024-06-26 10:05 7.3K 
[   ]walk-1.10.0-r1.apk2025-01-20 06:39 1.3M 
[   ]walk-sor-0_git20190920-r1.apk2024-08-07 03:51 4.9K 
[   ]walk-sor-doc-0_git20190920-r1.apk2024-08-07 03:51 7.5K 
[   ]wamrc-2.2.0-r0.apk2024-12-05 16:51 473K 
[   ]warp-s3-1.0.8-r0.apk2025-01-25 18:50 6.3M 
[   ]warpinator-1.8.8-r0.apk2025-02-06 03:27 215K 
[   ]warpinator-lang-1.8.8-r0.apk2025-02-06 03:27 222K 
[   ]warpinator-nemo-1.8.8-r0.apk2025-02-06 03:27 3.8K 
[   ]wasm-micro-runtime-2.2.0-r0.apk2024-12-05 16:51 970  
[   ]wasm-tools-1.223.0-r0.apk2025-01-11 23:35 3.0M 
[   ]wasm-tools-doc-1.223.0-r0.apk2025-01-11 23:35 6.8K 
[   ]watchbind-0.2.1-r1.apk2024-09-26 01:29 1.1M 
[   ]watchbind-doc-0.2.1-r1.apk2024-09-26 01:29 6.3K 
[   ]watchdog-5.16-r2.apk2024-09-27 08:10 43K 
[   ]watchdog-doc-5.16-r2.apk2024-09-27 08:10 14K 
[   ]watchmate-0.5.2-r0.apk2024-06-17 00:40 2.2M 
[   ]watershot-0.2.0-r0.apk2023-07-06 19:21 1.6M 
[   ]way-displays-1.8.1-r2.apk2023-09-11 11:44 75K 
[   ]way-displays-doc-1.8.1-r2.apk2023-09-11 11:44 3.9K 
[   ]waycheck-1.5.0-r0.apk2024-12-22 00:13 44K 
[   ]wayfire-0.8.1-r1.apk2024-07-13 18:57 2.4M 
[   ]wayfire-dev-0.8.1-r1.apk2024-07-13 18:57 137K 
[   ]wayfire-doc-0.8.1-r1.apk2024-07-13 18:57 2.5K 
[   ]wayfire-plugins-extra-0.8.1-r1.apk2024-07-13 18:57 578K 
[   ]waynergy-0.0.17-r0.apk2024-05-09 21:49 50K 
[   ]wayqt-0.2.0-r0.apk2023-12-30 14:39 103K 
[   ]wayqt-dev-0.2.0-r0.apk2023-12-30 14:39 18K 
[   ]wbg-1.2.0-r0.apk2024-06-30 04:50 38K 
[   ]wch-isp-0.4.1-r2.apk2024-09-30 14:42 10K 
[   ]wch-isp-doc-0.4.1-r2.apk2024-09-30 14:42 2.4K 
[   ]wch-isp-udev-rules-0.4.1-r2.apk2024-09-30 14:42 1.4K 
[   ]wcm-0.8.0-r0.apk2023-12-14 17:55 365K 
[   ]webhookd-1.20.1-r0.apk2025-01-30 18:02 2.9M 
[   ]webhookd-doc-1.20.1-r0.apk2025-01-30 18:02 1.9K 
[   ]webhookd-openrc-1.20.1-r0.apk2025-01-30 18:02 1.9K 
[   ]welle-cli-2.6-r0.apk2024-12-21 23:29 304K 
[   ]welle-io-2.6-r0.apk2024-12-21 23:29 388K 
[   ]welle-io-doc-2.6-r0.apk2024-12-21 23:29 3.7K 
[   ]wf-config-0.8.0-r1.apk2024-09-28 05:57 101K 
[   ]wf-config-dev-0.8.0-r1.apk2024-09-28 05:57 16K 
[   ]wf-shell-0.8.1-r0.apk2024-03-15 09:21 6.1M 
[   ]wf-shell-dev-0.8.1-r0.apk2024-03-15 09:21 1.5K 
[   ]wf-shell-doc-0.8.1-r0.apk2024-03-15 09:21 2.0K 
[   ]wgcf-2.2.24-r1.apk2025-01-20 06:39 3.6M 
[   ]wgcf-bash-completion-2.2.24-r1.apk2025-01-20 06:39 4.7K 
[   ]wgcf-fish-completion-2.2.24-r1.apk2025-01-20 06:39 4.0K 
[   ]wgcf-zsh-completion-2.2.24-r1.apk2025-01-20 06:39 3.7K 
[   ]whipper-0.10.0-r5.apk2024-04-30 03:07 113K 
[   ]whipper-pyc-0.10.0-r5.apk2024-04-30 03:07 185K 
[   ]wiki-tui-0.8.2-r1.apk2024-09-23 21:35 1.5M 
[   ]wiki-tui-doc-0.8.2-r1.apk2024-09-23 21:35 4.3K 
[   ]wireguard-go-0.0.20230223-r8.apk2025-01-20 06:39 1.1M 
[   ]wireguard-go-doc-0.0.20230223-r8.apk2025-01-20 06:39 3.2K 
[   ]wiremapper-0.10.0-r0.apk2022-10-07 08:51 22K 
[   ]wiringx-0_git20240317-r1.apk2024-03-25 13:20 58K 
[   ]wiringx-dev-0_git20240317-r1.apk2024-03-25 13:20 172K 
[   ]witchery-0.0.3-r2.apk2022-10-07 08:51 2.9K 
[   ]wl-clipboard-x11-5-r3.apk2022-10-25 15:04 3.2K 
[   ]wl-clipboard-x11-doc-5-r3.apk2022-10-25 15:04 2.7K 
[   ]wl-gammarelay-0.1.1-r10.apk2025-01-20 06:39 1.5M 
[   ]wlavu-0_git20201101-r1.apk2022-10-25 15:04 10K 
[   ]wlclock-1.0.1-r0.apk2021-12-31 01:27 14K 
[   ]wlclock-doc-1.0.1-r0.apk2021-12-31 01:27 3.3K 
[   ]wlopm-0.1.0-r0.apk2022-07-04 16:24 5.7K 
[   ]wlopm-doc-0.1.0-r0.apk2022-07-04 16:24 2.0K 
[   ]wlroots0.12-0.12.0-r1.apk2022-10-07 08:51 199K 
[   ]wlroots0.12-dbg-0.12.0-r1.apk2022-10-07 08:51 1.0M 
[   ]wlroots0.12-dev-0.12.0-r1.apk2022-10-07 08:51 60K 
[   ]wlroots0.15-0.15.1-r6.apk2023-07-06 19:21 287K 
[   ]wlroots0.15-dbg-0.15.1-r6.apk2023-07-06 19:21 1.2M 
[   ]wlroots0.15-dev-0.15.1-r6.apk2023-07-06 19:21 70K 
[   ]wlroots0.16-0.16.2-r0.apk2024-10-28 14:48 339K 
[   ]wlroots0.16-dbg-0.16.2-r0.apk2024-10-28 14:48 1.2M 
[   ]wlroots0.16-dev-0.16.2-r0.apk2024-10-28 14:48 70K 
[   ]wlroots0.17-0.17.4-r1.apk2024-11-25 10:47 376K 
[   ]wlroots0.17-dbg-0.17.4-r1.apk2024-11-25 10:47 1.4M 
[   ]wlroots0.17-dev-0.17.4-r1.apk2024-11-25 10:47 77K 
[   ]wlvncc-0.0.0_git20241130-r0.apk2024-12-17 21:53 75K 
[   ]wmctrl-1.07-r1.apk2022-03-01 20:45 12K 
[   ]wmctrl-doc-1.07-r1.apk2022-03-01 20:45 5.1K 
[   ]wmi-client-1.3.16-r5.apk2024-09-30 21:13 2.4M 
[   ]wol-0.7.1-r3.apk2024-09-30 23:52 25K 
[   ]wol-doc-0.7.1-r3.apk2024-09-30 23:52 5.3K 
[   ]wol-lang-0.7.1-r3.apk2024-09-30 23:52 7.9K 
[   ]wolfssh-1.4.17-r0.apk2024-04-02 22:19 139K 
[   ]wolfssh-dev-1.4.17-r0.apk2024-04-02 22:19 299K 
[   ]wordgrinder-0.8-r2.apk2024-07-02 20:31 476K 
[   ]wordgrinder-doc-0.8-r2.apk2024-07-02 20:31 18K 
[   ]wpa_actiond-1.4-r7.apk2022-10-25 15:04 8.4K 
[   ]wpa_actiond-openrc-1.4-r7.apk2022-10-25 15:04 2.0K 
[   ]wput-0.6.2-r4.apk2022-10-14 21:59 34K 
[   ]wput-doc-0.6.2-r4.apk2022-10-14 21:59 8.0K 
[   ]wroomd-0.1.0-r0.apk2023-10-06 07:59 1.0M 
[   ]wroomd-openrc-0.1.0-r0.apk2023-10-06 07:59 1.4K 
[   ]wshowkeys-1.0-r0.apk2022-03-01 20:45 12K 
[   ]wsmancli-2.6.2-r0.apk2023-01-12 17:30 17K 
[   ]wsmancli-doc-2.6.2-r0.apk2023-01-12 17:30 3.4K 
[   ]wtfutil-0.43.0-r9.apk2025-01-20 06:39 19M 
[   ]x11docker-7.6.0-r1.apk2023-12-19 22:12 113K 
[   ]x11docker-doc-7.6.0-r1.apk2023-12-19 22:12 9.1K 
[   ]xa-2.3.14-r0.apk2023-03-20 09:35 47K 
[   ]xa-doc-2.3.14-r0.apk2023-03-20 09:35 15K 
[   ]xandikos-0.2.12-r1.apk2024-11-25 12:16 91K 
[   ]xandikos-doc-0.2.12-r1.apk2024-11-25 12:16 2.1K 
[   ]xandikos-openrc-0.2.12-r1.apk2024-11-25 12:16 1.9K 
[   ]xandikos-pyc-0.2.12-r1.apk2024-11-25 12:16 189K 
[   ]xcape-1.2-r0.apk2021-11-29 22:04 5.9K 
[   ]xcape-doc-1.2-r0.apk2021-11-29 22:04 2.8K 
[   ]xcompmgr-1.1.9-r0.apk2022-11-12 21:49 13K 
[   ]xcompmgr-doc-1.1.9-r0.apk2022-11-12 21:49 2.3K 
[   ]xdg-desktop-portal-hyprland-1.3.3-r0.apk2024-08-07 03:51 246K 
[   ]xdg-desktop-portal-hyprland-doc-1.3.3-r0.apk2024-08-07 03:51 2.2K 
[   ]xdg-ninja-0.2.0.2-r0.apk2024-02-05 07:17 70K 
[   ]xed-3.8.2-r0.apk2025-02-06 03:27 1.1M 
[   ]xed-dev-3.8.2-r0.apk2025-02-06 03:27 13K 
[   ]xed-doc-3.8.2-r0.apk2025-02-06 03:27 971K 
[   ]xed-lang-3.8.2-r0.apk2025-02-06 03:27 2.1M 
[   ]xed-python-3.8.2-r0.apk2025-02-06 03:27 24K 
[   ]xemu-0.8.5-r0.apk2025-01-05 02:44 5.4M 
[   ]xfce4-hamster-plugin-1.17-r0.apk2022-03-01 20:45 30K 
[   ]xfce4-hamster-plugin-lang-1.17-r0.apk2022-03-01 20:45 5.1K 
[   ]xfce4-mixer-4.18.1-r2.apk2023-12-14 17:55 86K 
[   ]xfce4-mixer-doc-4.18.1-r2.apk2023-12-14 17:55 2.2K 
[   ]xfce4-mixer-lang-4.18.1-r2.apk2023-12-14 17:55 59K 
[   ]xfce4-panel-profiles-1.0.14-r1.apk2023-12-14 17:55 56K 
[   ]xfce4-panel-profiles-doc-1.0.14-r1.apk2023-12-14 17:55 19K 
[   ]xfce4-panel-profiles-lang-1.0.14-r1.apk2023-12-14 17:55 44K 
[   ]xfd-1.1.4-r0.apk2022-12-04 12:40 11K 
[   ]xfd-doc-1.1.4-r0.apk2022-12-04 12:40 4.7K 
[   ]xfe-1.46.2-r0.apk2024-06-13 06:48 1.3M 
[   ]xfe-doc-1.46.2-r0.apk2024-06-13 06:48 3.7K 
[   ]xfe-lang-1.46.2-r0.apk2024-06-13 06:48 533K 
[   ]xfe-xfi-1.46.2-r0.apk2024-06-13 06:48 271K 
[   ]xfe-xfp-1.46.2-r0.apk2024-06-13 06:48 246K 
[   ]xfe-xfw-1.46.2-r0.apk2024-06-13 06:48 288K 
[   ]xgalaga-2.1.1.0-r1.apk2022-10-25 15:04 282K 
[   ]xgalaga-doc-2.1.1.0-r1.apk2022-10-25 15:04 2.3K 
[   ]xisxwayland-2-r1.apk2023-07-30 14:12 3.7K 
[   ]xisxwayland-doc-2-r1.apk2023-07-30 14:12 1.7K 
[   ]xkb-switch-1.8.5-r0.apk2021-12-11 20:49 16K 
[   ]xkb-switch-doc-1.8.5-r0.apk2021-12-11 20:49 1.9K 
[   ]xlhtml-0.5.1-r0.apk2024-03-30 18:39 11K 
[   ]xlhtml-doc-0.5.1-r0.apk2024-03-30 18:39 2.2K 
[   ]xload-1.1.4-r0.apk2022-05-22 17:03 6.0K 
[   ]xload-doc-1.1.4-r0.apk2022-05-22 17:03 3.2K 
[   ]xmag-1.0.8-r0.apk2024-10-13 09:33 16K 
[   ]xmag-doc-1.0.8-r0.apk2024-10-13 09:33 4.5K 
[   ]xml2rfc-3.25.0-r0.apk2024-12-12 22:37 349K 
[   ]xml2rfc-pyc-3.25.0-r0.apk2024-12-12 22:37 403K 
[   ]xmp-4.2.0-r0.apk2023-08-21 00:16 23K 
[   ]xmp-doc-4.2.0-r0.apk2023-08-21 00:16 5.1K 
[   ]xmpp-dns-0.2.4-r20.apk2025-01-20 06:39 1.6M 
[   ]xmppipe-0.16.0-r1.apk2024-02-01 21:59 16K 
[   ]xone-src-0.3_git20230517-r0.apk2023-07-26 11:13 43K 
[   ]xonsh-0.19.1-r0.apk2025-02-06 03:27 584K 
[   ]xonsh-pyc-0.19.1-r0.apk2025-02-06 03:27 1.0M 
[   ]xosview-1.24-r0.apk2024-03-25 16:45 118K 
[   ]xosview-doc-1.24-r0.apk2024-03-25 16:45 12K 
[   ]xsane-0.999-r2.apk2024-10-01 07:24 1.5M 
[   ]xsane-doc-0.999-r2.apk2024-10-01 07:24 4.0K 
[   ]xsane-lang-0.999-r2.apk2024-10-01 07:24 440K 
[   ]xsecurelock-1.9.0-r1.apk2024-04-30 03:07 63K 
[   ]xsecurelock-doc-1.9.0-r1.apk2024-04-30 03:07 17K 
[   ]xsoldier-1.8-r2.apk2024-07-12 05:34 69K 
[   ]xsoldier-doc-1.8-r2.apk2024-07-12 05:34 2.4K 
[   ]xtensor-0.25.0-r0.apk2025-01-15 09:44 267K 
[   ]xtl-0.7.7-r0.apk2024-01-12 19:57 110K 
[   ]xva-img-1.5-r0.apk2024-10-02 08:03 16K 
[   ]xvidtune-1.0.4-r0.apk2023-02-05 04:41 15K 
[   ]xvidtune-doc-1.0.4-r0.apk2023-02-05 04:41 3.9K 
[   ]xwayland-satellite-0.5-r0.apk2025-01-23 20:13 814K 
[   ]xwaylandvideobridge-0.4.0-r1.apk2024-03-04 15:10 48K 
[   ]xwaylandvideobridge-lang-0.4.0-r1.apk2024-03-04 15:10 11K 
[   ]yaegi-0.16.1-r4.apk2025-01-20 06:39 6.8M 
[   ]yamkix-0.10.0-r1.apk2024-04-30 03:07 14K 
[   ]yamkix-pyc-0.10.0-r1.apk2024-04-30 03:07 11K 
[   ]yamlfmt-0.15.0-r1.apk2025-01-20 06:39 1.5M 
[   ]yamlfmt-doc-0.15.0-r1.apk2025-01-20 06:39 5.2K 
[   ]yarn-berry-4.6.0-r0.apk2025-01-07 09:51 970K 
[   ]yarr-2.4-r9.apk2025-01-20 06:39 3.9M 
[   ]yarr-doc-2.4-r9.apk2025-01-20 06:39 7.2K 
[   ]yarr-openrc-2.4-r9.apk2025-01-20 06:39 1.7K 
[   ]yaru-common-23.10.0-r1.apk2024-12-01 03:35 4.2K 
[   ]yaru-icon-theme-23.10.0-r1.apk2024-12-01 03:35 35M 
[   ]yaru-icon-theme-bark-23.10.0-r1.apk2024-12-01 03:35 1.0M 
[   ]yaru-icon-theme-blue-23.10.0-r1.apk2024-12-01 03:35 1.1M 
[   ]yaru-icon-theme-magenta-23.10.0-r1.apk2024-12-01 03:35 1.1M 
[   ]yaru-icon-theme-mate-23.10.0-r1.apk2024-12-01 03:35 1.2M 
[   ]yaru-icon-theme-olive-23.10.0-r1.apk2024-12-01 03:36 1.1M 
[   ]yaru-icon-theme-prussiangreen-23.10.0-r1.apk2024-12-01 03:36 1.1M 
[   ]yaru-icon-theme-purple-23.10.0-r1.apk2024-12-01 03:36 1.1M 
[   ]yaru-icon-theme-red-23.10.0-r1.apk2024-12-01 03:36 1.1M 
[   ]yaru-icon-theme-sage-23.10.0-r1.apk2024-12-01 03:36 1.1M 
[   ]yaru-icon-theme-viridian-23.10.0-r1.apk2024-12-01 03:36 1.1M 
[   ]yaru-schemas-23.10.0-r1.apk2024-12-01 03:36 1.5K 
[   ]yaru-shell-23.10.0-r1.apk2024-12-01 03:36 735K 
[   ]yaru-sounds-23.10.0-r1.apk2024-12-01 03:36 675K 
[   ]yaru-theme-23.10.0-r1.apk2024-12-01 03:36 839K 
[   ]yaru-theme-bark-23.10.0-r1.apk2024-12-01 03:36 763K 
[   ]yaru-theme-blue-23.10.0-r1.apk2024-12-01 03:36 764K 
[   ]yaru-theme-hdpi-23.10.0-r1.apk2024-12-01 03:36 73K 
[   ]yaru-theme-magenta-23.10.0-r1.apk2024-12-01 03:36 761K 
[   ]yaru-theme-mate-23.10.0-r1.apk2024-12-01 03:36 727K 
[   ]yaru-theme-olive-23.10.0-r1.apk2024-12-01 03:36 759K 
[   ]yaru-theme-prussiangreen-23.10.0-r1.apk2024-12-01 03:36 759K 
[   ]yaru-theme-purple-23.10.0-r1.apk2024-12-01 03:36 756K 
[   ]yaru-theme-red-23.10.0-r1.apk2024-12-01 03:36 760K 
[   ]yaru-theme-sage-23.10.0-r1.apk2024-12-01 03:36 762K 
[   ]yaru-theme-viridian-23.10.0-r1.apk2024-12-01 03:36 759K 
[   ]yazi-25.2.7-r0.apk2025-02-08 00:15 4.5M 
[   ]yazi-bash-completion-25.2.7-r0.apk2025-02-08 00:15 1.8K 
[   ]yazi-cli-25.2.7-r0.apk2025-02-08 00:15 1.0M 
[   ]yazi-doc-25.2.7-r0.apk2025-02-08 00:15 1.9K 
[   ]yazi-fish-completion-25.2.7-r0.apk2025-02-08 00:15 1.5K 
[   ]yazi-zsh-completion-25.2.7-r0.apk2025-02-08 00:15 1.9K 
[   ]ydcv-0.7-r8.apk2024-09-02 22:36 20K 
[   ]ydcv-pyc-0.7-r8.apk2024-09-02 22:36 10K 
[   ]ydcv-zsh-completion-0.7-r8.apk2024-09-02 22:36 1.9K 
[   ]yices2-2.6.4-r0.apk2023-02-10 06:20 2.0M 
[   ]yices2-dev-2.6.4-r0.apk2023-02-10 06:20 41K 
[   ]yices2-libs-2.6.4-r0.apk2023-02-10 06:20 752K 
[   ]yodl-4.02.00-r1.apk2022-10-25 15:04 134K 
[   ]yodl-doc-4.02.00-r1.apk2022-10-25 15:04 59K 
[   ]yoshimi-2.3.2-r0.apk2024-04-30 03:07 5.6M 
[   ]yoshimi-doc-2.3.2-r0.apk2024-04-30 03:07 4.5M 
[   ]youtube-tui-0.8.0-r0.apk2023-12-14 17:55 1.3M 
[   ]youtube-viewer-3.11.1-r0.apk2024-03-13 17:15 83K 
[   ]youtube-viewer-doc-3.11.1-r0.apk2024-03-13 17:15 41K 
[   ]youtube-viewer-gtk-3.11.1-r0.apk2024-03-13 17:15 171K 
[   ]ytmdl-2024.08.15.1-r0.apk2024-10-20 05:42 50K 
[   ]ytmdl-bash-completion-2024.08.15.1-r0.apk2024-10-20 05:42 2.0K 
[   ]ytmdl-pyc-2024.08.15.1-r0.apk2024-10-20 05:42 78K 
[   ]ytmdl-zsh-completion-2024.08.15.1-r0.apk2024-10-20 05:42 1.8K 
[   ]ytt-0.47.0-r6.apk2025-01-20 06:39 4.1M 
[   ]yubikey-agent-0.1.6-r8.apk2025-01-20 06:39 1.6M 
[   ]z-1.12-r0.apk2023-12-14 17:55 4.3K 
[   ]z-doc-1.12-r0.apk2023-12-14 17:55 3.7K 
[   ]zafiro-icon-theme-1.3-r0.apk2023-02-05 04:41 19M 
[   ]zapret-0.0.0_git20220125-r1.apk2024-06-17 10:37 81K 
[   ]zapret-doc-0.0.0_git20220125-r1.apk2024-06-17 10:37 98K 
[   ]zapret-openrc-0.0.0_git20220125-r1.apk2024-06-17 10:37 1.8K 
[   ]zarchive-0.1.2-r2.apk2023-08-19 21:23 15K 
[   ]zarchive-dev-0.1.2-r2.apk2023-08-19 21:23 6.5K 
[   ]zarchive-libs-0.1.2-r2.apk2023-08-19 21:23 24K 
[   ]zfs-src-2.2.4-r1.apk2025-01-09 12:46 32M 
[   ]zile-2.6.2-r1.apk2024-09-30 00:44 118K 
[   ]zile-doc-2.6.2-r1.apk2024-09-30 00:44 16K 
[   ]zita-njbridge-0.4.8-r1.apk2022-10-25 15:04 26K 
[   ]zita-njbridge-doc-0.4.8-r1.apk2022-10-25 15:04 5.0K 
[   ]zita-resampler-1.10.1-r0.apk2023-03-20 09:35 18K 
[   ]zita-resampler-dev-1.10.1-r0.apk2023-03-20 09:35 3.1K 
[   ]zita-resampler-doc-1.10.1-r0.apk2023-03-20 09:35 3.8K 
[   ]znc-backlog-0_git20210503-r8.apk2024-09-26 07:29 21K 
[   ]znc-clientbuffer-1.0.48-r8.apk2024-09-26 07:29 18K 
[   ]znc-playback-0_git20210503-r8.apk2024-09-26 07:29 19K 
[   ]znc-push-0_git20220823-r8.apk2024-09-26 07:29 91K 
[   ]zrepl-0.6.1-r7.apk2025-01-20 06:39 6.2M 
[   ]zrepl-bash-completion-0.6.1-r7.apk2025-01-20 06:39 4.2K 
[   ]zrepl-openrc-0.6.1-r7.apk2025-01-20 06:39 1.4K 
[   ]zrepl-zsh-completion-0.6.1-r7.apk2025-01-20 06:39 1.6K 
[   ]zsh-fzf-tab-0_git20220331-r1.apk2024-06-17 10:37 16K 
[   ]zsh-manydots-magic-0_git20230607-r1.apk2023-08-19 21:23 2.6K 
[   ]zutty-0.16-r0.apk2025-01-12 22:23 155K 
[   ]zutty-doc-0.16-r0.apk2025-01-12 22:23 66K 
[   ]zvbi-0.2.43-r0.apk2024-12-07 22:12 182K 
[   ]zvbi-doc-0.2.43-r0.apk2024-12-07 22:12 21K 
[   ]zycore-1.5.0-r0.apk2024-04-06 01:50 20K 
[   ]zycore-dev-1.5.0-r0.apk2024-04-06 01:50 38K 
[   ]zycore-doc-1.5.0-r0.apk2024-04-06 01:50 394K 

Apache/2.4.62 (Fedora Linux) Server at mirror1.hs-esslingen.de Port 443